Uppsala University Department of Information Technology

Technical Report 2019-003

Minimizing Replay under Way-Prediction

Ricardo Alves, Stefanos Kaxiras, and David Black-Schaffer

May 2019

Abstract:
Way-predictors are effective at reducing dynamic cache energy by reducing the number of ways accessed, but introduce additional latency for incorrect way-predictions. While previous work has studied the impact of the increased latency for incorrect way-predictions, we show that the latency variability has a far greater effect as it forces replay of in-flight instructions on an incorrect way-prediction. To address the problem, we propose a solution that learns the confidence of the way-prediction and dynamically disables it when it is likely to mispredict. We further improve this approach by biasing the confidence to reduce latency variability further at the cost of reduced way-predictions. Our results show that instruction replay in a way-predictor reduces IPC by 6.9% due to 10% of the instructions being replayed. Our confidence-based way-predictor degrades IPC by only 2.9% by replaying just 3.4% of the instructions, reducing way-predictor cache energy overhead (compared to serial access cache) from 8.5% to 1.9%.

Note: This paper is an extension of another paper published in ICCD 2018 "Dynamically Disabling Way-prediction to Reduce Instruction Replay".

Available as PDF (267 kB, no cover)

Download BibTeX entry.



Uppsala Universitet