
Publications by UPMARC researchers
This list include both UPMARC and other publications
2018
-
Optimal Stateless Model Checking under the Release-Acquire Semantics
. In SPLASH OOPSLA 2018, Boston, Nov 4-9, 2018, ACM Digital Library, 2018. -
Optimal Stateless Model Checking under the Release-Acquire Semantics
. In Proceedings of the ACM on Programming Languages, volume 2, number OOPSLA, pp 1-29, Association for Computing Machinery (ACM), 2018. -
Perfect timed communication is hard
. In Formal Modeling and Analysis of Timed Systems, volume 11022 of Lecture Notes in Computer Science, pp 91-107, Springer, 2018. -
A load-buffer semantics for total store ordering
. In Logical Methods in Computer Science, volume 14, number 1, 2018. -
Complexity of reachability for data-aware dynamic systems
. In Proc. 18th International Conference on Application of Concurrency to System Design, pp 11-20, IEEE Computer Society, 2018. -
Fragment abstraction for concurrent shape analysis
. In Programming Languages and Systems, volume 10801 of Lecture Notes in Computer Science, pp 442-471, Springer, 2018. -
Mending fences with self-invalidation and self-downgrade
. In Logical Methods in Computer Science, volume 14, number 1, 2018. -
Model checking parameterized systems
. In Handbook of Model Checking, pp 685-725, Springer, 2018. -
Replacing store buffers by load buffers in TSO
. In Verification and Evaluation of Computer and Communication Systems, volume 11181 of Lecture Notes in Computer Science, pp 22-28, Springer, 2018. -
Trau: SMT solver for string constraints
. In Proceedings of the 2018 18th Conference on Formal Methods in Computer Aided Design (FMCAD), IEEE, 2018. -
Universal safety for timed Petri nets is PSPACE-complete
. In 29th International Conference on Concurrency Theory, volume 118 of Leibniz International Proceedings in Informatics, pp 6:1-15, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2018. -
Verification of timed asynchronous programs
. In IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science: FSTTCS 2018, volume 122 of Leibniz International Proceedings in Informatics, pp 8:1-16, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2018. -
Schedulability Analysis and Software Synthesis for Graph-Based Task Models with Resource Sharing
. In Proc. 24th Real-Time and Embedded Technology and Applications Symposium, pp 261-270, IEEE Computer Society, 2018. -
Assessment of risk in written communication: Introducing the Profile Risk Assessment Tool (PRAT)
. EUROPOL, Belgium, 2018. -
Dynamically Disabling Way-prediction to Reduce Instruction Replay
. In 2018 IEEE 36th International Conference on Computer Design (ICCD), Proceedings IEEE International Conference on Computer Design, pp 140-143, IEEE, 2018. -
Forward deterministic pricing of options using Gaussian radial basis functions
. In Journal of Computational Science, volume 24, pp 209-217, 2018. -
Model Checking Bounded Continuous-time Extended Linear Duration Invariants
. In HSCC 2018: Proceedings of the 21st International Conference on Hybrid Systems: Computation and Control (HSCC), pp 81-90, ASSOC COMPUTING MACHINERY, 2018. -
Effective Techniques for Stateless Model Checking
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1602, Acta Universitatis Upsaliensis, Uppsala, 2018. -
Optimal dynamic partial order reduction with observers
. In Tools and Algorithms for the Construction and Analysis of Systems: Part II, volume 10806 of Lecture Notes in Computer Science, pp 229-248, Springer, 2018. -
Verification and Evaluation of Computer and Communication Systems
. Volume 11181 of Lecture Notes in Computer Science, Springer, 2018. -
Verifying quantitative temporal properties of procedural programs
. In 29th International Conference on Concurrency Theory, volume 118 of Leibniz International Proceedings in Informatics, pp 15:1-17, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2018. -
Bit-Vector Interpolation and Quantifier Elimination by Lazy Reduction
. In Formal Methods in Computer-Aided Design, pp 50-59, IEEE, 2018. -
Multiscale modelling via split-step methods in neural firing
. In Mathematical and Computer Modelling of Dynamical Systems, volume 24, pp 426-445, 2018. -
C?: A New Modular Approach to Implementing Efficient and Tunable Collections
. In Proceedings of the 2018 ACM SIGPLAN International Symposium on New Ideas, New Paradigms, and Reflections on Programming and Software (Onward! 2018), pp 57-71, ACM, 2018. -
Extending Automata Learning to Extended Finite State Machines
. In Machine Learning for Dynamic Software Analysis: Potentials and Limits, volume 11026 of Lecture Notes in Computer Science, pp 149-177, Springer, 2018. -
Attached and Detached Closures in Actors
. In Proceedings of the 8th ACM SIGPLAN International Workshop on Programming Based on Actors, Agents, and Decentralized Control, pp 54-61, ACM Digital Library, 2018. -
Bestow and Atomic: Concurrent programming using isolation, delegation and grouping
. In The Journal of logical and algebraic methods in programming, volume 100, pp 130-151, 2018. -
Capability-Based Type Systems for Concurrency Control
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1611, Acta Universitatis Upsaliensis, Uppsala, 2018. -
OOlong: An Extensible Concurrent Object Calculus
. In SAC '18: Proceedings of the 33rd Annual ACM Symposium on Applied Computing, pp 1022-1029, 2018. -
OOlong: A Concurrent Object Calculus for Extensibility and Reuse
. In ACM SIGAPP Applied Computing Review, volume 18, number 4, pp 47-60, Association for Computing Machinery, 2018. -
Environmental Control at the Edge
. In , 2018. -
Analyzing performance variation of task schedulers with TaskInsight
. In Parallel Computing, volume 75, pp 11-27, 2018. -
Behind the Scenes: Memory Analysis of Graphical Workloads on Tile-based GPUs
. In Proc. International Symposium on Performance Analysis of Systems and Software: ISPASS 2018, pp 1-11, IEEE Computer Society, 2018. -
Tail-PASS: Resource-based Cache Management for Tiled Graphics Rendering Hardware
. In Proc. 16th International Conference on Parallel and Distributed Processing with Applications, pp 55-63, IEEE, 2018. -
Understanding Task Parallelism: Providing insight into scheduling, memory, and performance for CPUs and Graphics
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1737, Acta Universitatis Upsaliensis, Uppsala, 2018. -
EDF-VD Scheduling of Flexible Mixed-Criticality System With Multiple-Shot Transitions
. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, volume 37, number 11, pp 2393-2403, 2018. -
Utilization-Based Scheduling of Flexible Mixed-Criticality Real-Time Tasks
. In IEEE Transactions on Computers, volume 67, number 4, pp 543-558, IEEE COMPUTER SOC, 2018. -
Pathwise error bounds in multiscale variable splitting methods for spatial stochastic kinetics
. In SIAM Journal on Numerical Analysis, volume 56, pp 469-498, 2018. -
Scheduling at the Edge for Assisting Cloud Real-Time Systems
. In Proceedings of the 2018 Workshop on Theory and Practice for Integrated Cloud, Fog and Edge Computing Paradigms, pp 9-14, ACM, New York, NY, USA, 2018. -
Mesoscopic modeling of random walk and reactions in crowded media
. In Physical Review E. Statistical, Nonlinear, and Soft Matter Physics, volume 98, pp 033304:1-16, 2018. -
Scalable population-level modelling of biological cells incorporating mechanics and kinetics in continuous time
. In Royal Society Open Science, volume 5, pp 180379:1-17, 2018. -
Towards Bayesian parametrization of national scale epidemics
. In MATHMOD 2018 Extended Abstracts, pp 65-66, ARGESIM Publisher, Vienna, Austria, 2018. -
Avoiding an IoT "Tragedy of the Commons"
. In Proc. 16th International Conference on Mobile Systems, Applications, and Services, pp 495-497, ACM Press, New York, 2018. -
Preliminary Results on LoRaWAN and IEEE 802.15.4-SUN Interference
. In SenSys '18: Proceedings of the 16th ACM Conference on Embedded Networked Sensor, pp 430-431, ACM Press, New York, 2018. -
Forward to a Promising Future
. In Conference proceedings COORDINATION 2018, 2018. -
Correctness of a concurrent object collector for actor languages
. In Programming Languages and Systems, volume 10801 of Lecture Notes in Computer Science, pp 885-911, Springer, 2018. -
Safely Abstracting Memory Layouts
. In 20th Workshop on Formal Techniques for Java-like Programs, 2018. -
Model-theoretic Conservative Extension of Definitional Theories
. In Electronic Notes in Theoretical Computer Science, volume 338, pp 133-145, 2018. -
A general high order two-dimensional panel method
. In Applied Mathematical Modelling, volume 60, pp 1-17, 2018. -
A Platform for Experiments with Energy Storage Devices for Low-power Wireless Networks
. In Proc. 12th International Workshop on Wireless Network Testbeds, Experimental Evaluation & Characterization, pp 68-76, ACM Press, New York, 2018. -
Integration of a Platform for Energy Storage Experiments into a Generic Testbed Framework
. In Proc. 12th International Workshop on Wireless Network Testbeds, Experimental Evaluation & Characterization, pp 77-78, ACM Press, New York, 2018. -
On the Consensus Mechanisms of Blockchain/DLT for Internet of Things
. In 2018 IEEE 13th International Symposium On Industrial Embedded Systems (SIES), International Symposium on Industrial Embedded Systems, pp 150-159, IEEE, 2018. -
The ELDARICA Horn Solver
. In Formal Methods in Computer Aided Design, pp 158-164, IEEE, 2018. -
String constraints with concatenation and transducers solved efficiently
. In Proceedings of the ACM on Programming Languages, volume 2, number POPL, pp 1-32, ACM Digital Library, New York, 2018. -
Automatic Detection of Large Extended Data-Race-Free Regions with Conflict Isolation
. In IEEE Transactions on Parallel and Distributed Systems, volume 29, number 3, pp 527-541, IEEE COMPUTER SOC, 2018. -
The Quest for Optimality in Stateless Model Checking of Concurrent Programs
. In Formal Methods For Industrial Critical Systems, FMICS 2018, volume 11119 of Lecture Notes in Computer Science, pp XI-XII, Springer, 2018. -
HiPErJiT: A Profile-Driven Just-in-Time Compiler for Erlang
. In Proceedings of the 30th Symposium on Implementation and Application ff Functional Languages (IFL 2018), pp 25-36, Association for Computing Machinery (ACM), 2018. -
Non-Speculative Load Reordering in Total Store Ordering
. In IEEE Micro, volume 38, number 3, pp 48-57, IEEE COMPUTER SOC, 2018. -
Queue Delegation Locking
. In IEEE Transactions on Parallel and Distributed Systems, volume 29, number 3, pp 687-704, IEEE COMPUTER SOC, 2018. -
Automating regression verification of pointer programs by predicate abstraction
. In Formal methods in system design, volume 52, number 3, pp 229-259, 2018. -
Effective Stateless Model Checking for C/C++ Concurrency
. In Proceedings of the ACM on Programming Languages, volume 2, number POPL, pp 1-32, ACM Press, 2018. -
A Skiplist-Based Concurrent Priority Queue with Minimal Memory Contention
. Technical report / Department of Information Technology, Uppsala University nr 2018-003, 2018. -
A Skiplist-Based Concurrent Priority Queue with Minimal Memory Contention
. Technical report / Department of Information Technology, Uppsala University nr 2013-025, 2018. -
Fine-grained local dynamic load balancing in PDES
. In Proc. 6th ACM SIGSIM Conference on Principles of Advanced Discrete Simulation, pp 201-212, ACM Press, New York, 2018. -
Synchronization Techniques in Parallel Discrete Event Simulation
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1634, Acta Universitatis Upsaliensis, Uppsala, 2018. -
Assessing uncertainties in x-ray single-particle three-dimensional reconstruction
. In Physical Review E. Statistical, Nonlinear, and Soft Matter Physics, volume 98, pp 013303:1-12, 2018. -
Automating Targeted Property-Based Testing
. In IEEE 11th International Conference on Software Testing, Verification and Validation (ICST), IEEE International Conference on Software Testing Verification and Validation, pp 70-80, IEEE, 2018. -
Ventral hippocampal OLM cells control type 2 theta oscillations and response to predator odor
. In Nature Communications, volume 9, pp 3638:1-15, 2018. -
Multidimensional performance and scalability analysis for diverse applications based on system monitoring data
. In Parallel Processing and Applied Mathematics: Part I, volume 10777 of Lecture Notes in Computer Science, pp 417-431, Springer, 2018. -
Delorean: Virtualized Directed Profiling for Cache Modeling in Sampled Simulation
. Technical report / Department of Information Technology, Uppsala University nr 2018-014, 2018. -
Verified Software. Theories, Tools, and Experiments: Revised Selected Papers
. Volume 11294 of Lecture Notes in Computer Science, Springer Publishing Company, 2018. -
Non-Speculative Store Coalescing in Total Store Order
. In Proc.45th International Symposium on Computer Architecture, pp 221-234, IEEE, 2018. -
The Superfluous Load Queue
. In 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp 95-107, IEEE, 2018. -
Radial basis function methods for the Rosenau equation and other higher order PDEs
. In Journal of Scientific Computing, volume 75, pp 1555-1580, 2018. -
A contention adapting approach to concurrent ordered sets
. In Journal of Parallel and Distributed Computing, volume 115, pp 1-19, ACADEMIC PRESS INC ELSEVIER SCIENCE, 2018. -
Progress on Algorithms for Stateless Model Checking
. In Proceedings of the Third Workshop on Models for Formal Analysis of Real Systems and Sixth International Workshop on Verification and Program Transformation, OPEN PUBL ASSOC, 2018. -
A Capacity Augmentation Bound for Real-Time Constrained-Deadline Parallel Tasks Under GEDF
. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, volume 37, number 11, pp 2200-2211, 2018. -
Extending SHAPES for SIMD Architectures –: An approach to native support for Struct of Arrays in languages
. In 13th Implementation, Compilation, Optimization of Object-Oriented Languages, Programs and Systems Workshop, 2018. -
SWOOP: software-hardware co-design for non-speculative, execute-ahead, in-order cores
. In Proceedings of the 39th ACM SIGPLAN Conference on Programming Language Design and Implementation, pp 328-343, Association for Computing Machinery (ACM), 2018. -
Static instruction scheduling for high performance on limited hardware
. In IEEE Transactions on Computers, volume 67, number 4, pp 513-527, 2018. -
The Cause-Effect Latency Problem in Real-Time Systems
. In Formal Methods For Industrial Critical Systems, FMICS 2018, volume 11119 of Lecture Notes in Computer Science, pp XIII-XIII, SPRINGER INTERNATIONAL PUBLISHING AG, 2018. -
Spatio-temporal modelling of verotoxigenic <em>Escherichia coli</em> O157 in cattle in Sweden: exploring options for control
. In Veterinary research (Print), volume 49, pp 78:1-13, 2018. -
Dynamic Adaptations of Synchronization Granularity in Concurrent Data Structures
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1684, Acta Universitatis Upsaliensis, Uppsala, 2018. -
Lock-free Contention Adapting Search Trees
. In The 30th ACM Symposium on Parallelism in Algorithms and Architectures, SPAA 2018, New York, NY, USA, 2018. -
Advances in Task-Based Parallel Programming for Distributed Memory Architectures
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1621, Acta Universitatis Upsaliensis, Uppsala, 2018. -
Distributed dynamic load balancing for task parallel programming
. 2018. -
TaskUniVerse: A Task-Based Unified Interface for Versatile Parallel Execution
. In Parallel Processing and Applied Mathematics: Part I, volume 10777 of Lecture Notes in Computer Science, pp 169-184, Springer, 2018. -
Exploring Approximations for Floating-Point Arithmetic using UppSAT
. In Automated Reasoning, volume 10900 of Lecture Notes in Computer Science, pp 246-262, 2018. -
Caches, Transactions and Memories: Models, Coherence and Consistency
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1665, Acta Universitatis Upsaliensis, Uppsala, 2018. -
Parallel Programming With Arrays in Kappa
. In 5th ACM SIGPLAN International Workshop on Libraries, Languages and Compilers for Array Programming, 2018.
2017
-
An integrated specification and verification technique for highly concurrent data structures for highly concurrent data structures
. In International Journal on Software Tools for Technology Transfer, volume 19, number 5, pp 549-563, SPRINGER HEIDELBERG, 2017. -
Comparing source sets and persistent sets for partial order reduction
. In Models, Algorithms, Logics and Tools: Essays Dedicated to Kim Guldstrand Larsen on the Occasion of His 60th Birthday, volume 10460 of Lecture Notes in Computer Science, pp 516-536, Springer, 2017. -
Source Sets: A Foundation for Optimal Dynamic Partial Order Reduction
. In Journal of the ACM, volume 64, number 4, Association for Computing Machinery (ACM), 2017. -
Context-bounded analysis for POWER
. In Tools and Algorithms for the Construction and Analysis of Systems: Part II, volume 10206 of Lecture Notes in Computer Science, pp 56-74, Springer, 2017. -
Flatten and Conquer: A Framework for Efficient Analysis of String Constraints
. In SIGPLAN notices, volume 52, number 6, pp 602-617, 2017. -
Stateless model checking for TSO and PSO
. In Acta Informatica, volume 54, number 8, pp 789-818, 2017. -
Synthesis of Ada code from graph-based task models
. In Proc. 32nd ACM Symposium on Applied Computing, pp 1467-1472, ACM Press, New York, 2017. -
Towards a Tool: TIMES-Pro for Modeling, Analysis, Simulation and Implementation of Cyber-Physical Systems
. In MODELS, ALGORITHMS, LOGICS AND TOOLS: ESSAYS DEDICATED TO KIM GULDSTRAND LARSEN ON THE OCCASION OF HIS 60TH BIRTHDAY, volume 10460 of Lecture Notes in Computer Science, pp 623-639, SPRINGER INTERNATIONAL PUBLISHING AG, 2017. -
A Taxonomy of Out-of-Order Instruction Commit
. In 2017 Ieee International Symposium On Performance Analysis Of Systems And Software (Ispass), pp 135-136, IEEE Computer Society, Los Alamitos, 2017. -
Exploring the performance limits of out-of-order commit
. In Proc. 14th Computing Frontiers Conference, pp 211-220, ACM Press, New York, 2017. -
Addressing energy challenges in filter caches
. In Proc. 29th International Symposium on Computer Architecture and High Performance Computing, pp 49-56, IEEE Computer Society, 2017. -
Testing And Verifying Chain Repair Methods For CORFU Using Stateless Model Checking
. In , volume 10510 of Lecture Notes in Computer Science, pp 227-242, Springer, Cham, 2017. -
The shared-memory interferences of Erlang/OTP built-ins
. In Proceedings Of The 16Th Acm Sigplan International Workshop On Erlang (Erlang '17), pp 43-54, Association for Computing Machinery (ACM), New York, 2017. -
Selected and extended papers from Partial Evaluation and Program Manipulation 2015 (PEPM ' 15)
. In Science of Computer Programming, volume 137, pp 1-1, ELSEVIER SCIENCE BV, 2017. -
Emptiness of Ordered Multi-Pushdown Automata is 2ETIME-Complete
. In International Journal of Foundations of Computer Science, volume 28, number 8, pp 945-975, 2017. -
On the Upward/Downward Closures of Petri Nets?
. In 42nd International Symposium on Mathematical Foundations of Computer Science (MFCS 2017), volume 83 of Leibniz International Proceedings in Informatics (LIPIcs), pp 49:1-49:14, Dagstuhl, Germany, 2017. -
Parity Games on Bounded Phase Multi-pushdown Systems
. In Networked Systems: 5th International Conference, NETYS 2017, Marrakech, Morocco, May 17-19, 2017, Proceedings, volume 10299 of Lecture Notes in Computer Science, pp 272-287, Cham, 2017. -
Verification of Asynchronous Programs with Nested Locks
. In 37th IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science, FSTTCS 2017, December 11-15, 2017, Kanpur, India, volume 93 of Leibniz International Proceedings in Informatics (LIPIcs), pp 11:1-11:14, Dagstuhl, Germany, 2017. -
Data Multi-Pushdown Automata
. In The 28th International Conference on Concurrency Theory, CONCUR 2017, September 5-8, 2017, Berlin, Germany, volume 85 of Leibniz International Proceedings in Informatics (LIPIcs), pp 38:1-38:17, Dagstuhl, Germany, 2017. -
Parallelism in Event-Based Computations with Applications in Biology
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1586, Acta Universitatis Upsaliensis, Uppsala, 2017. -
Numerical solution of the viscous flow past a cylinder with a non-global yet spectrally convergent meshless collocation method
. In Spectral and High Order Methods for Partial Differential Equations: ICOSAHOM 2016, volume 119 of Lecture Notes in Computational Science and Engineering, pp 495-507, Springer, 2017. -
Deriving Probability Density Functions from Probabilistic Functional Programs
. In Logical Methods in Computer Science, volume 13, number 2, 2017. -
Adaptive cache warming for faster simulations
. In Proc. 9th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, ACM Press, New York, 2017. -
Mining for Safety using Interactive Trace Analysis
. In Pre-Proceedings - Fifteenth International Workshop on Quantitative Aspects of Programming Languages and Systems, 2017. -
Spencer: Interactive Heap Analysis for the Masses
. In 2017 IEEE/ACM 14th International Conference on Mining Software Repositories (MSR 2017), IEEE International Working Conference on Mining Software Repositories, pp 113-123, IEEE, 2017. -
Transcending hardware limits with software out-of-order processing
. In IEEE Computer Architecture Letters, volume 16, number 2, pp 162-165, 2017. -
Actors without Borders: Amnesty for Imprisoned State
. In , pp 10-20, 2017. -
Reference Capabilities for Concurrency & Scalability: an Experience Report
. In , 2017. -
Relaxed Linear References for Lock-free Data Structures
. In , pp 47:1-47:31, 2017. -
Types for CAS: Relaxed Linearity with Ownership Transfer
. 2017. -
Analyzing Graphics Workloads on Tile-based GPUs
. In Proc. 20th International Symposium on Workload Characterization, pp 108-109, IEEE, 2017. -
Exploring scheduling effects on task performance with TaskInsight
. In Supercomputing frontiers and innovations, volume 4, number 3, pp 91-98, 2017. -
How to make tasks faster: Revealing the complex interactions of tasks in the memory system
. In Proc. Companion 8th ACM International Conference on Systems, Programming, Languages, and Applications: Software for Humanity, pp 1-3, ACM Press, New York, 2017. -
Modeling the interactions between tasks and the memory system
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2017-002, Uppsala University, 2017. -
TaskInsight: Understanding task schedules effects on memory and performance
. In Proc. 8th International Workshop on Programming Models and Applications for Multicores and Manycores, pp 11-20, ACM Press, New York, 2017. -
Understanding the interplay between task scheduling, memory and performance
. In Proc. Companion 8th ACM International Conference on Systems, Programming, Languages, and Applications: Software for Humanity, pp 21-23, ACM Press, New York, 2017. -
Learning to prove safety over parameterised concurrent systems
. In Proceedings of the 17th International Conference on Formal Methods in Computer-Aided Design, pp 76-83, IEEE, 2017. -
Vascular sprouts induce local attraction of proangiogenic neutrophils
. In Journal of Leukocyte Biology, volume 102, pp 741-751, 2017. -
Orca: GC and Type System Co-design for Actor Languages
. In Proceedings of the ACM on Programming Languages, volume 1, number OOPSLA, pp 1-28, ACM, 2017. -
Linguistic markers of a radicalized mind-set among extreme adopters
. In Proc. 10th ACM International Conference on Web Search and Data Mining, pp 823-824, ACM Press, New York, 2017. -
Scope-Aware Classification: Taking the hierarchical private/shared data classification to the next level
. Technical report / Department of Information Technology, Uppsala University nr 2017-008, 2017. -
The best of both works: A hybrid data-race-free cache coherence scheme
. 2017. -
Systematic predicate abstraction using variable roles
. In NASA Formal Methods, volume 10227 of Lecture Notes in Computer Science, pp 265-281, Springer, 2017. -
Fixed-Priority Schedulability of Sporadic Tasks on Uniprocessors is NP-hard
. In 2017 IEEE Real-Time Systems Symposium (RTSS)<em></em>, Real-Time Systems Symposium-Proceedings, pp 139-146, IEEE, 2017. -
Data-driven computational disease spread modeling: from measurement to parametrization and control
. In Disease Modelling and Public Health: Part A, volume 36 of Handbook of Statistics, pp 305-328, Elsevier, 2017. -
Multiscale simulation of stochastic reaction–diffusion networks
. In Stochastic Processes, Multiscale Modeling, and Numerical Methods for Computational Cellular Biology, pp 55-79, Springer, 2017. -
Stability and strong convergence for spatial stochastic kinetics
. In Stochastic Processes, Multiscale Modeling, and Numerical Methods for Computational Cellular Biology, pp 109-125, Springer, 2017. -
Making batteries a first class element in the design and evaluation of embedded wireless systems
. In Proc. 14th International Conference on Embedded Wireless Systems and Networks, pp 242-243, ACM Digital Library, 2017. -
Towards realistic lifetime estimation in battery-powered IoT devices
. In Proceedings of the 15th ACM Conference on Embedded Network Sensor Systems Article No. 67, Association for Computing Machinery (ACM), 2017. -
Efficient Drone Hijacking Detection using Onboard Motion Sensors
. In Proceedings Of The 2017 Design, Automation & Test In Europe Conference & Exhibition (DATE), Design Automation and Test in Europe Conference and Exhibition, pp 1414-1419, IEEE, 2017. -
IoT Data Profiles: The Routines of Your Life Reveals Who You Are
. In 2017 European Intelligence and Security Informatics Conference (EISIC), European Intelligence and Security Informatics Conference, pp 61-67, IEEE, 2017. -
You can have it all: abstraction and good cache performance
. In Onward! 2017: Proceedings of the 2017 ACM SIGPLAN International Symposium on New Ideas, New Paradigms, and Reflections on Programming and Software, pp 148-167, Association for Computing Machinery (ACM), 2017. -
Concolic testing for functional languages
. In Science of Computer Programming, volume 147, pp 109-134, 2017. -
Preface to special issue on satisfiability modulo theories
. In Formal methods in system design, volume 51, number 3, pp 431-432, 2017. -
Deciding and Interpolating Algebraic Data Types by Reduction
. In 2017 19th international symposium on symbolic and numeric algorithms for scientific computing (SYNASC 2017), International Symposium on Symbolic and Numeric Algorithms for Scientific Computing, pp 145-152, IEEE, New York, 2017. -
Gender Classification with Data Independent Features in Multiple Languages
. In 2017 European Intelligence and Security Informatics Conference (EISIC), European Intelligence and Security Informatics Conference, pp 54-60, IEEE, 2017. -
Semi-Federated Scheduling of Parallel Real-Time Tasks on Multiprocessors
. In 2017 IEEE Real-Time Systems Symposium (RTSS), Real-Time Systems Symposium-Proceedings, pp 80-91, IEEE, 2017. -
Automatic detection of extended data-race-free regions
. In Proc. 15th International Symposium on Code Generation and Optimization, pp 14-26, IEEE Press, Piscataway, NJ, 2017. -
Quantified heap invariants for object-oriented programs
. In 21st International Conference on Logic for Programming, Artificial Intelligence and Reasoning, volume 46 of EPiC Series in Computing, pp 368-384, 2017. -
Stateless model checking of the Linux kernel's hierarchical read-copy-update (tree RCU)
. In Proc. 24th ACM SIGSOFT International SPIN Symposium on Model Checking of Software, pp 172-181, ACM Press, New York, 2017. -
Generalized Finitary Real-Time Calculus
. In IEEE INFOCOM 2017 - IEEE Conference on Computer Communications, IEEE INFOCOM, IEEE, 2017. -
A least squares radial basis function partition of unity method for solving PDEs
. In SIAM Journal on Scientific Computing, volume 39, pp A2538-A2563, 2017. -
Book Review: A Primer on Radial Basis Functions with Applications to the Geosciences
. In SIAM Review, volume 59, pp 688-689, 2017. -
Fair termination for parameterized probabilistic concurrent systems
. In Tools and Algorithms for the Construction and Analysis of Systems: Part I, volume 10205 of Lecture Notes in Computer Science, pp 499-517, Springer, 2017. -
Exposing inter-process information for efficient parallel discrete event simulation of spatial stochastic systems
. In Proc. 5th ACM SIGSIM Conference on Principles of Advanced Discrete Simulation, pp 53-64, ACM Press, New York, 2017. -
Targeted property-based testing
. In Proc. 26th ACM SIGSOFT International Symposium on Software Testing and Analysis, pp 46-56, ACM Press, New York, 2017. -
A flexible computational framework using R and Map-Reduce for permutation tests of massive genetic analysis of complex traits
. In IEEE/ACM Transactions on Computational Biology & Bioinformatics, volume 14, pp 381-392, 2017. -
An executable semantics for synchronous task graphs: From SDRT to Ada
. In Reliable Software Technologies — Ada-Europe 2017, volume 10300 of Lecture Notes in Computer Science, pp 137-152, Springer, 2017. -
Refinement of workload models for engine controllers by state space partitioning
. In 29th Euromicro Conference on Real-Time Systems: ECRTS 2017, volume 76 of Leibniz International Proceedings in Informatics (LIPIcs), pp 11:1-22, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2017. -
Investigating interference between LoRa and IEEE 802.15.4g networks
. In Proc. 13th International Conference on Wireless and Mobile Computing, Networking and Communications, pp 441-448, IEEE, 2017. -
Weak Nominal Modal Logic
. In Formal Techniques for Distributed Objects, Components, and Systems, volume 10321 of Lecture Notes in Computer Science, pp 179-193, Springer, 2017. -
Verification of networks of communicating processes: Reachability problems and decidability issues
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1605, Acta Universitatis Upsaliensis, Uppsala, 2017. -
Efficient Self-Invalidation/Self-Downgrade for Critical Sections with Relaxed Semantics
. In IEEE Transactions on Parallel and Distributed Systems, volume 28, number 12, pp 3413-3425, 2017. -
Non-speculative load-load reordering in TSO
. In Proc. 44th International Symposium on Computer Architecture, pp 187-200, ACM Press, New York, 2017. -
The Contention Avoiding Concurrent Priority Queue
. In Languages and Compilers for Parallel Computing, volume 10136 of Lecture Notes in Computer Science, pp 314-330, Springer, 2017. -
A graphics tracing framework for exploring CPU+GPU memory systems
. In Proc. 20th International Symposium on Workload Characterization, pp 54-65, IEEE, 2017. -
A split cache hierarchy for enabling data-oriented optimizations
. In Proc. 23rd International Symposium on High Performance Computer Architecture, pp 133-144, IEEE Computer Society, 2017. -
POSTER: Putting the G back into GPU/CPU Systems Research
. In 2017 26TH INTERNATIONAL CONFERENCE ON PARALLEL ARCHITECTURES AND COMPILATION TECHNIQUES (PACT), International Conference on Parallel Architectures and Compilation Techniques, pp 130-131, 2017. -
Multiscale stochastic neuron modeling – with applications in deep brain stimulation
. In Proc. Summer Simulation Multiconference: 2017, pp 38:1-5, Society for Computer Simulation International, San Diego, CA, 2017. -
A Machine Learning Approach Towards Detecting Extreme Adopters in Digital Communities
. In 2017 28th International Workshop on Database and Expert Systems Applications (DEXA), International Workshop on Database and Expert Systems Applications-DEXA, pp 1-5, IEEE, 2017. -
Applying Real-Time Scheduling Theory to the Synchronous Data Flow Model of Computation
. In , 2017. -
Real-Time Scheduling and Analysis of OpenMP Task Systems with Tied Tasks
. In 2017 IEEE Real-Time Systems Symposium (RTSS), Real-Time Systems Symposium-Proceedings, pp 92-103, IEEE, 2017. -
Revisiting GPC and AND Connector in Real-Time Calculus
. In 2017 IEEE Real-Time Systems Symposium (RTSS), Real-Time Systems Symposium-Proceedings, pp 255-265, IEEE, 2017. -
Clairvoyance: Look-ahead compile-time scheduling
. In Proc. 15th International Symposium on Code Generation and Optimization, pp 171-184, IEEE Press, Piscataway, NJ, 2017. -
Scaling Reliably: Improving the scalability of the Erlang distributed actor platform
. In ACM Transactions on Programming Languages and Systems, volume 39, number 4, 2017. -
Benchmarking OpenMP Programs for Real-Time Scheduling
. In 2017 IEEE 23Rd International Conference On Embedded And Real-Time Computing Systems And Applications (RTSCA), IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, IEEE Computer Society, 2017. -
Towards Customizable CPS: Composability, Efficiency and Predictability
. In Formal Methods and Software Engineering, volume 10610 of Lecture Notes in Computer Science, pp 3-15, Springer, 2017. -
Decoupled Access-Execute on ARM big.LITTLE
. In Proc. 5th Workshop on High Performance Energy Efficient Embedded Systems, 2017. -
Mastery Learning-Like Teaching with Achievements
. In , 2017. -
Type-assisted automatic garbage collection for lock-free data structures
. In SIGPLAN notices, volume 52, number 9, pp 14-24, ACM Press, New York, 2017. -
An approximation framework for solvers and decision procedures
. In Journal of automated reasoning, volume 58, number 1, pp 127-147, 2017.
2016
-
Automated Verification of Linearization Policies
. In Automated Verification of Linearization Policies: 23rd International Symposium, SAS 2016, Edinburgh, UK, September 8-10, 2016, Proceedings, 2016. -
Qualitative Analysis of VASS-Induced MDPs
. In Foundations Of Software Science And Computation Structures (FOSSACS 2016), volume 9634 of Lecture Notes in Computer Science, pp 319-334, 2016. -
Data Communicating Processes with Unreliable Channels
. In Proceedings Of The 31St Annual ACM-IEEE Symposium On Logic In Computer Science (LICS 2016), pp 166-175, 2016. -
Parameterized verification
. In International Journal on Software Tools for Technology Transfer, volume 18, number 5, pp 469-473, 2016. -
Counter-Example Guided Program Verification
. In FM 2016: Formal Methods, volume 9995 of Lecture Notes in Computer Science, pp 25-42, Springer, 2016. -
Fencing programs with self-invalidation and self-downgrade
. In Formal Techniques for Distributed Objects, Components, and Systems, volume 9688 of Lecture Notes in Computer Science, pp 19-35, Springer, 2016. -
Parameterized verification of time-sensitive models of ad hoc network protocols
. In Theoretical Computer Science, volume 612, pp 1-22, 2016. -
Parameterized verification through view abstraction
. In International Journal on Software Tools for Technology Transfer, volume 18, number 5, pp 495-516, 2016. -
Recency-Bounded Verification of Dynamic Database-Driven Systems
. In PODS'16: PROCEEDINGS OF THE 35TH ACM SIGMOD-SIGACT-SIGAI SYMPOSIUM ON PRINCIPLES OF DATABASE SYSTEMS, pp 195-210, 2016. -
Stateless model checking for POWER
. In Computer Aided Verification: Part II, volume 9780 of Lecture Notes in Computer Science, pp 134-156, Springer, 2016. -
The benefits of duality in verifying concurrent programs under TSO
. In 27th International Conference on Concurrency Theory: CONCUR 2016, volume 59 of Leibniz International Proceedings in Informatics (LIPIcs), pp 5:1-15, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2016. -
Verification of heap manipulating programs with ordered data by extended forest automata
. In Acta Informatica, volume 53, number 4, pp 357-385, 2016. -
Improving performance by monitoring while maintaining worst-case guarantees
. In Proc. 19th Conference on Design, Automation and Test in Europe, pp 257-260, IEEE, Piscataway, NJ, 2016. -
Multi-domain alias matching using machine learning
. In Proc. 3rd European Network Intelligence Conference, pp 77-84, IEEE, 2016. -
Acceleration in Multi-PushDown Systems
. In Tools and Algorithms for the Construction and Analysis of Systems, volume 9636 of Lecture Notes in Computer Science, pp 698-714, Springer, 2016. -
The complexity of regular abstractions of one-counter languages
. In Proceedings Of The 31St Annual ACM-IEEE Symposium On Logic In Computer Science (LICS 2016), pp 207-216, 2016. -
Fast event-based epidemiological simulations on national scales
. In The international journal of high performance computing applications, volume 30, pp 438-453, 2016. -
Psi-Calculi in Isabelle
. In Journal of automated reasoning, volume 56, number 1, pp 1-47, 2016. -
AutoDietary: A wearable acoustic sensor system for food intake recognition in daily life
. In IEEE Sensors Journal, volume 16, number 3, pp 806-816, 2016. -
Multi-feature fusion for thermal face recognition
. In Infrared physics & technology, volume 77, pp 366-374, 2016. -
Mesoscopic modeling of stochastic reaction–diffusion kinetics in the subdiffusive regime
. In Multiscale Modeling & simulation, volume 14, pp 668-707, 2016. -
Industrial Evaluation of Test Suite Generation Strategies for Model-Based Testing
. In , 2016. -
Model-Based Protocol Testing in an Erlang Environment
. Ph.D. thesis, Uppsala Dissertations from the Faculty of Science and Technology nr 122, Acta Universitatis Upsaliensis, Uppsala, 2016. -
A Lambda-Calculus Foundation for Universal Probabilistic Programming
. In SIGPLAN notices, volume 51, number 9, pp 33-46, 2016. -
A Sorted Semantic Framework for Applied Process Calculi
. In Logical Methods in Computer Science, volume 12, number 1, pp 1-49, 2016. -
Fabular: Regression formulas as probabilistic programming
. In Proc. 43rd ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, volume 51(1) of ACM SIGPLAN Notices, pp 271-283, ACM Press, New York, 2016. -
A direct solver for the advection–diffusion equation using Green's functions and low-rank approximation
. In Proc. 7th ECCOMAS Congress, pp 7302-7316, European Community on Computional Methods in Applied Sciences (ECCOMAS), 2016. -
Protocol, mobility and adversary models for the verification of security
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2016-007, Uppsala University, 2016. -
Extended versions of papers presented at WS-FM 2014 and Beat 2014
. In Formal Aspects of Computing, volume 28, number 4, pp 529-530, 2016. -
Active learning for extended finite state machines
. In Formal Aspects of Computing, volume 28, number 2, pp 233-263, 2016. -
Determining progress in writing competency by assessing students’ argumentation
. In Proc. 46th ASEE/IEEE Frontiers in Education Conference, IEEE Press, Piscataway, NJ, 2016. -
Kappa: Insights, Current Status and Future Work
. In , 2016. -
LOLCAT: Relaxed Linear References for Lock-free Programming
. Technical report / Department of Information Technology, Uppsala University nr 2016-013, 2016. -
Reference Capabilities for Concurrency Control
. In ECOOP 2016 — Object-Oriented Programming, 2016. -
Reference Capabilities for Trait Based Reuse and Concurrency Control
. Technical report / Department of Information Technology, Uppsala University nr 2016-007, 2016. -
Types for CAS: Relaxed Linearity with Ownership Transfer
. In , 2016. -
Characterizing Task Scheduling Performance Based on Data Reuse
. In Proc. 9th Nordic Workshop on Multi-Core Computing, 2016. -
Formalizing data locality in task parallel applications
. In Algorithms and Architectures for Parallel Processing, volume 10049 of Lecture Notes in Computer Science, pp 43-61, Springer, 2016. -
Spatial and Temporal Cache Sharing Analysis in Tasks
. In , Timisoara, Romania, 2016. -
Vats: A safe, reactive storage abstraction
. In Theory and Practice of Formal Methods: Essays Dedicated to Frank de Boer on the Occasion of His 60th Birthday, volume 9660 of Lecture Notes in Computer Science, pp 140-154, Springer, 2016. -
Schedulability analysis of a graph-based task model for mixed-criticality systems
. In Real-time systems, volume 52, number 1, pp 1-37, 2016. -
Fast Matlab compatible sparse assembly on multicore computers
. In Parallel Computing, volume 56, pp 1-17, 2016. -
Preconditioned Metropolis sampling as a strategy to improve efficiency in posterior exploration
. In , volume 49:26 of IFAC-PapersOnLine, pp 89-94, 2016. -
Measuring online affects in a white supremacy forum
. In Proc. 14th International Conference on Intelligence and Security Informatics, pp 85-90, IEEE, 2016. -
An Online Overclocking Scheme for Bursty Real-time Tasks and an Evaluation of its Thermal Impact
. In 14Th ACM/IEEE Symposium On Embedded Systems For Real-Time Multimedia (ESTIMEDIA 2016), pp 104-113, 2016. -
Towards Enabling Low-Level Memory Optimisations at the High-Level with Ownership Annotations
. In , 2016. -
Preface, Electronic Proceedings in Theoretical Computer Science. Vol 219
. In Electronic Proceedings in Theoretical Computer Science, number 219, OPEN PUBL ASSOC, 2016. -
Kleene Algebras with Domain
. In Archive of Formal Proofs, 2016. -
Transforming real-time task graphs to improve schedulability
. In Proc. 22nd International Conference on Embedded and Real-Time Computing Systems and Applications, pp 29-38, IEEE Computer Society, 2016. -
Evaluation of the deflated preconditioned CG method to solve bubbly and porous media flow problems on GPU and CPU
. In International Journal for Numerical Methods in Fluids, volume 80, pp 666-683, 2016. -
Languages, Logics, Types and Tools for Concurrent System Modelling
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1392, Acta Universitatis Upsaliensis, Uppsala, 2016. -
Pointer Race Freedom
. In Verification, Model Checking, And Abstract Interpretation, VMCAI 2016, volume 9583 of Lecture Notes in Computer Science, pp 393-412, Springer, 2016. -
Preconditioning for radial basis function partition of unity methods
. In Journal of Scientific Computing, volume 67, pp 1089-1109, 2016. -
Optimizing Horn Solvers for Network Repair
. In Proceedings of the 2016 16Th Conference on Formal Methods In Computer-Aided Design (FMCAD 2016), pp 73-80, IEEE, 2016. -
Concise Loads and Stores: The Case for an Asymmetric Compute-Memory Architecture for Approximation
. In 2016 49Th Annual IEEE/ACM International Symposium On Microarchitecture (MICRO), International Symposium on Microarchitecture Proceedings, 2016. -
Partitioning GPUs for Improved Scalability
. In Proc. 28th International Symposium on Computer Architecture and High Performance Computing, International Symposium on Computer Architecture and High Performance Computing, pp 42-49, IEEE Computer Society, 2016. -
Automatic detection of xenophobic narratives: A case study on Swedish alternative media
. In Proc. 14th International Conference on Intelligence and Security Informatics, pp 121-126, IEEE, 2016. -
Countering lone actor terrorism: Weak signals and online activities
. In Understanding Lone Actor Terrorism: Past experience, future outlook, and response strategies, pp 266-279, Routledge, Abingdon, UK, 2016. -
Identifying Warning Behaviors of Violent Lone Offenders in Written Communication
. In 2016 IEEE 16Th International Conference On Data Mining Workshops (ICDMW), International Conference on Data Mining Workshops, pp 1053-1060, IEEE, New York, 2016. -
Identifying warning behaviors of violent lone offenders in written communication
. In Proc. 16th ICDM Workshops, pp 1053-1060, IEEE Computer Society, 2016. -
Linguistic analysis of lone offender manifestos
. In Proc. 4th International Conference on Cybercrime and Computer Forensics, IEEE, 2016. -
Semantic technologies for detecting names of new drugs on darknets
. In Proc. 4th International Conference on Cybercrime and Computer Forensics, IEEE, 2016. -
JayHorn: A framework for verifying Java programs
. In Computer Aided Verification: Part I, volume 9779 of Lecture Notes in Computer Science, pp 352-358, Springer, 2016. -
Optimizing Performance in Highly Utilized Multicores with Intelligent Prefetching
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1335, Acta Universitatis Upsaliensis, Uppsala, 2016. -
Building Heterogeneous Unified Virtual Memories (UVMs) without the Overhead
. In ACM Transactions on Architecture and Code Optimization (TACO), volume 13, number 1, 2016. -
Efficient Execution Paradigms for Parallel Heterogeneous Architectures
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1405, Acta Universitatis Upsaliensis, Uppsala, 2016. -
Multiversioned decoupled access-execute: The key to energy-efficient compilation of general-purpose programs
. In Proc. 25th International Conference on Compiler Construction, pp 121-131, ACM Press, New York, 2016. -
An adaptive interpolation scheme for molecular potential energy surfaces
. In Journal of Chemical Physics, volume 145, pp 084104:1-10, 2016. -
Keep it cool and in time: With runtime monitoring to thermal-aware execution speeds for deadline constrained systems
. In Journal of Parallel and Distributed Computing, volume 95, pp 79-91, 2016. -
Verification of Software under Relaxed Memory
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1387, Acta Universitatis Upsaliensis, Uppsala, 2016. -
Guiding Craig interpolation with domain-specific abstractions
. In Acta Informatica, volume 53, number 4, pp 387-424, 2016. -
Liveness of randomised parameterised systems under arbitrary schedulers
. In Computer Aided Verification: Part II, volume 9780 of Lecture Notes in Computer Science, pp 112-133, Springer, 2016. -
Regular Symmetry Patterns
. In Verification, Model Checking, and Abstract Interpretation, volume 9583 of Lecture Notes in Computer Science, pp 455-475, Springer Berlin/Heidelberg, 2016. -
EDF-VD scheduling of mixed-criticality systems with degraded quality guarantees
. In Proc. 37th Real-Time Systems Symposium, pp 35-46, IEEE Computer Society, 2016. -
A survey on static cache analysis for real-time systems
. In Leibniz Transactions on Embedded Systems, volume 3, number 1, pp 05:1-48, 2016. -
Speed planning for solar-powered electric vehicles
. In Proc. 17th International Conference on Future Energy Systems, ACM Press, New York, 2016. -
The Nifty way to call hell from heaven
. In Proc. 15th International Workshop on Erlang, pp 1-11, ACM Press, New York, 2016. -
QTL as a service: PruneDIRECT for multi-dimensional QTL scans in cloud settings
. In Bioinformatics, 2016. Publication status: Submitted
-
Analysis and design of jump coefficients in discrete stochastic diffusion models
. In SIAM Journal on Scientific Computing, volume 38, pp A55-A83, 2016. -
Modeling and analysis of data flow graphs using the digraph real-time task model
. In Reliable Software Technologies — Ada-Europe 2016, volume 9695 of Lecture Notes in Computer Science, pp 15-29, Springer, 2016. -
On fixed-priority schedulability analysis of sporadic tasks with self-suspension
. In Proc. 24th International Conference on Real-Time Networks and Systems, pp 109-118, ACM Press, New York, 2016. -
Schedulability analysis of synchronous digraph real-time tasks
. In Proc. 28th Euromicro Conference on Real-Time Systems, pp 176-186, IEEE Computer Society, 2016. -
Multiprocessor Real-Time Locking Protocols for Replicated Resources
. In Proc. 28th Euromicro Conference on Real-Time Systems (ECRTS), Proceedings of the Euromicro Conference on Real-time Systems, pp 50-60, 2016. -
CoolSim: Statistical Techniques to Replace Cache Warming with Efficient, Virtualized Profiling
. In Proceedings Of 2016 International Conference On Embedded Computer Systems: Architectures, Modeling And Simulation (Samos), pp 106-115, IEEE, 2016. -
CoolSim: Eliminating Traditional Cache Warming with Fast, Virtualized Profiling
. In 2016 IEEE International Symposium On Performance Analysis Of Systems And Software ISPASS 2016, IEEE International Symposium on Performance Analysis of Systems and Software-ISPASS, pp 149-150, 2016. -
Performance Modeling of Multi-core Systems: Caches and Locks
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1336, Acta Universitatis Upsaliensis, Uppsala, 2016. -
A Comparative Study of GPUVerify and GKLEE
. In 2016 Fourth International Conference On Parallel, Distributed And Grid Computing (PDGC), pp 112-117, IEEE, 2016. -
General conditions for full abstraction
. In Mathematical Structures in Computer Science, volume 26, number 4, pp 655-657, 2016. -
The largest respectful function
. In Logical Methods in Computer Science, volume 12, number 2, 2016. -
Proceedings från 5:e utvecklingskonferensen för Sveriges ingenjörsutbildningar
. Volume 2016-002 of Technical report / Department of Information Technology, Uppsala University, 2016. -
Efficient Self-Invalidation/Self-Downgrade for Critical Sections with Relaxed Semantics
. In Proc. International Conference on Parallel Architectures and Compilation: PACT 2016, pp 433-434, ACM Press, New York, 2016. -
Racer: TSO Consistency via Race Detection
. In 2016 49Th Annual IEEE/ACM International Symposium On Microarchitecture (MICRO), International Symposium on Microarchitecture Proceedings, 2016. -
Characterization of simulation by probabilistic testing
. In Theory and Practice of Formal Methods, volume 9660 of Lecture Notes in Computer Science, pp 360-372, Springer, 2016. -
Splash-3: A properly synchronized benchmark suite for contemporary research
. In Proc. International Symposium on Performance Analysis of Systems and Software: ISPASS 2016, pp 101-111, IEEE Computer Society, 2016. -
Data placement across the cache hierarchy: Minimizing data movement with reuse-aware placement
. In Proc. 34th International Conference on Computer Design, Proceedings IEEE International Conference on Computer Design, pp 117-124, IEEE, Piscataway, NJ, 2016. -
Hiding and Reducing Memory Latency: Energy-Efficient Pipeline and Memory System Techniques
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1450, Acta Universitatis Upsaliensis, Uppsala, 2016. -
Radial basis function partition of unity methods for pricing vanilla basket options
. In Computers and Mathematics with Applications, volume 71, pp 185-200, 2016. -
Techniques for modulating error resilience in emerging multi-value technologies
. In Proc. 13th International Conference on Computing Frontiers, pp 55-63, ACM Press, New York, 2016. -
A unified DVFS-cache resizing framework
. Technical report / Department of Information Technology, Uppsala University nr 2016-014, 2016. -
Improving Energy-Efficiency of Multicores using First-Order Modeling
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1404, Acta Universitatis Upsaliensis, Uppsala, 2016. -
Feasibility of fork-join real-time task graph models: Hardness and algorithms
. In ACM Transactions on Embedded Computing Systems, volume 15, number 1, 2016. -
Accelerating COBAYA3 on multi-core CPU and GPU systems using PARALUTION
. In SNA + MC 2013 - Joint International Conference On Supercomputing In Nuclear Applications + Monte Carlo, 2016. -
Analytical Processor Performance and Power Modeling Using Micro-Architecture Independent Characteristics
. In IEEE Transactions on Computers, volume 65, number 12, pp 3537-3551, 2016. -
Approximation: A New Paradigm also for Wireless Sensing
. In , 2016. -
Profiling-Assisted Decoupled Access-Execute
. In Proc. 4th International Workshop on High Performance Energy Efficient Embedded Systems, 2016. -
Modal Logics for Nominal Transition Systems
. In Archive of Formal Proofs, 2016. -
Scrambling and descrambling SMT-LIB benchmarks
. In Satisfiability Modulo Theories: SMT 2016, volume 1617 of CEUR Workshop Proceedings, pp 31-40, RWTH Aachen University, 2016. -
The 2014 SMT Competition
. In Journal on Satisfiability, Boolean Modeling and Computation, 2016. -
Dynamic blind source separation based on source-direction prediction
. In Neurocomputing, volume 185, pp 73-81, 2016. -
Data-driven network modelling of disease transmission using complete population movement data: spread of VTEC O157 in Swedish cattle
. In Veterinary research (Print), volume 47, pp 81:1-17, 2016. -
DuctTeip: A task-based parallel programming framework for distributed memory architectures
. Technical report / Department of Information Technology, Uppsala University nr 2016-010, 2016. -
Task parallel implementation of a solver for electromagnetic scattering problems
. Technical report / Department of Information Technology, Uppsala University nr 2016-015, 2016. -
Deciding bit-vector formulas with mcSAT
. In Theory and Applications of Satisfiability Testing: SAT 2016, volume 9710 of Lecture Notes in Computer Science, pp 249-266, Springer, 2016. -
Start time configuration for strictly periodic real-time task systems
. In Journal of systems architecture, volume 66–67, pp 61-68, 2016. -
Bisimulation up-to techniques for psi-calculi
. In Proc. 5th ACM SIGPLAN Conference on Certified Programs and Proofs, pp 142-153, ACM Press, New York, 2016. -
Culling Concurrency Theory: Reusable and trustworthy meta-theory, proof techniques and separation results
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1397, Acta Universitatis Upsaliensis, Uppsala, 2016. -
The Expressive Power of Monotonic Parallel Composition
. In Programming Languages and Systems, volume 9632 of Lecture Notes in Computer Science, pp 780-803, Springer, Berlin, 2016. -
Language Constructs for Safe Parallel Programming on Multi-Cores
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1319, Acta Universitatis Upsaliensis, Uppsala, 2016.
2015
-
Generating models of infinite-state communication protocols using regular inference with abstraction
. In Formal methods in system design, volume 46, number 1, pp 1-41, 2015. -
Well Structured Transition Systems with History
. In Electronic Proceedings in Theoretical Computer Science, number 193, pp 115-128, 2015. -
MPass: An efficient tool for the analysis of message-passing programs
. In Formal Aspects of Component Software, volume 8997 of Lecture Notes in Computer Science, pp 198-206, Springer, 2015. -
Norn: An SMT solver for string constraints
. In Computer Aided Verification: Part I, volume 9206 of Lecture Notes in Computer Science, pp 462-469, Springer, 2015. -
Precise and sound automatic fence insertion procedure under PSO
. In Networked Systems: NETYS 2015, volume 9466 of Lecture Notes in Computer Science, pp 32-47, Springer, 2015. -
Stateless model checking for TSO and PSO
. In Tools and Algorithms for the Construction and Analysis of Systems: TACAS 2015, volume 9035 of Lecture Notes in Computer Science, pp 353-367, Springer Berlin/Heidelberg, 2015. -
The Best of Both Worlds: Trading efficiency and optimality in fence insertion for TSO
. In Programming Languages and Systems: ESOP 2015, volume 9032 of Lecture Notes in Computer Science, pp 308-332, Springer Berlin/Heidelberg, 2015. -
Verification of Cache Coherence Protocols wrt. Trace Filters
. In Proc. 15th Conference on Formal Methods in Computer-Aided Design, pp 9-16, IEEE, Piscataway, NJ, 2015. -
Verification of buffered dynamic register automata
. In Networked Systems: NETYS 2015, volume 9466 of Lecture Notes in Computer Science, pp 15-31, Springer, 2015. -
What's decidable about availability languages?
. In Proc. 35th IARCS Conference on Foundation of Software Technology and Theoretical Computer Science, volume 45 of LIPIcs, pp 192-205, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2015. -
Self-adaptive multiprecision preconditioners on multicore and manycore architectures
. In High Performance Computing for Computational Science – VECPAR 2014, volume 8969 of Lecture Notes in Computer Science, pp 115-123, Springer, 2015. -
A step towards detecting online grooming: Identifying adults pretending to be children
. In Proc. 5th European Intelligence and Security Informatics Conference, pp 98-104, IEEE Computer Society, 2015. -
Are You Really a Child?: A Machine Learning Approach To Protect Children from Online Grooming
. In Proc. National Symposium on Technology and Methodology for Security and Crisis Management: TAMSEC 2015, 2015. -
Detecting jihadist messages on twitter
. In Proc. 5th European Intelligence and Security Informatics Conference, pp 161-164, IEEE Computer Society, 2015. -
Efficient algorithms for bounded rigid <em>E</em>-unification
. In Automated Reasoning with Analytic Tableaux and Related Methods, volume 9323 of Lecture Notes in Computer Science, pp 70-85, Springer, 2015. -
Free variables and theories: Revisiting rigid <em>E-</em>unification
. In Frontiers of Combining Systems, volume 9322 of Lecture Notes in Computer Science, pp 3-13, Springer, 2015. -
Theorem proving with bounded rigid <em>E</em>-unification
. In Automated Deduction – CADE-25, volume 9195 of Lecture Notes in Computer Science, pp 572-587, Springer, 2015. -
Efficient inter-process synchronization for parallel discrete event simulation on multicores
. In Proc. 3rd ACM SIGSIM Conference on Principles of Advanced Discrete Simulation, pp 183-194, ACM Press, New York, 2015. -
Parallelism and efficiency in discrete-event simulation
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2015-004, Uppsala University, 2015. -
Sensitivity estimation and inverse problems in spatial stochastic models of chemical kinetics
. In Numerical Mathematics and Advanced Applications: ENUMATH 2013, volume 103 of Lecture Notes in Computational Science and Engineering, pp 519-527, Springer, 2015. -
Broadcast psi-calculi with an application to wireless protocols
. In Software and Systems Modeling, volume 14, number 1, pp 201-216, Springer, 2015. -
The Psi-Calculi Workbench: A Generic Tool for Applied Process Calculi
. In ACM Transactions on Embedded Computing Systems, volume 14, number 1, 2015. -
An automatable formal semantics for IEEE-754 floating-point arithmetic
. In Proc. 22nd Symposium on Computer Arithmetic, Proceedings Symposium on Computer Arithmetic, pp 160-167, IEEE Computer Society, 2015. -
Disjointness Domains for Fine-Grained Aliasing
. In , ACM SIGPLAN NOTICES, pp 989-916, 2015. -
Parallel Objects for Multicores: A Glimpse at the Parallel Language ENCORE
. In Formal Methods for Multicore Programming, volume 9104 of Lecture Notes in Computer Science, pp 1-56, 2015. -
Modelling and analysing a WSN secure aggregation protocol: A comparison of languages and tool support
. Technical report / Department of Information Technology, Uppsala University nr 2015-033, 2015. -
The Load Slice Core Microarchitecture
. In 2015 ACM/IEEE 42Nd Annual International Symposium On Computer Architecture (ISCA), pp 272-284, 2015. -
A structured approach to training open-ended problem solving
. In Proc. 45th ASEE/IEEE Frontiers in Education Conference, Frontiers in Education Conference, pp 417-420, IEEE Press, Piscataway, NJ, 2015. -
A succinct canonical register automaton model
. In Journal of Logical and Algebraic Methods in Programming, volume 84, number 1, pp 54-66, 2015. -
Active Learning for Extended Finite State Machines
. Technical report / Department of Information Technology, Uppsala University nr 2015-032, 2015. -
Learning Component Behavior from Tests: Theory and Algorithms for Automata with Data
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1311, Acta Universitatis Upsaliensis, Uppsala, 2015. -
Learning Extended Finite State Machines (extended version)
. Technical report / Department of Information Technology, Uppsala University nr 2015-004, Department of Information Technology, Uppsala University, 2015. -
RALib: A LearnLib extension for inferring EFSMs
. In , 2015. -
Refined Ownership: Fine-grained controlled internal sharing
. In Formal Methods for Multicore Programming, volume 9104 of Lecture Notes in Computer Science, pp 179-210, 2015. -
StatTask: Reuse distance analysis for task-based applications
. In Proc. 7th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, pp 1-7, ACM Press, New York, 2015. -
The 2013 Evaluation of SMT-COMP and SMT-LIB
. In Journal of automated reasoning, volume 55, number 1, pp 61-90, 2015. -
BioImg.org: A catalog of virtual machine images for the life sciences
. In Bioinformatics and Biology Insights, volume 9, pp 125-128, 2015. -
An efficient, self-contained, on-chip directory: DIR<sub>1</sub>-SISD
. In Proc. 24th International Conference on Parallel Architectures and Compilation Techniques, pp 317-330, IEEE Computer Society, 2015. -
Effects of Granularity/Adaptivity on Private/Shared Classification for Coherence
. In , 2015. -
The effects of granularity and adaptivity on private/shared classification for coherence
. In ACM Transactions on Architecture and Code Optimization (TACO), volume 12, number 3, 2015. -
An optimal resource sharing protocol for generalized multiframe tasks
. In The Journal of logical and algebraic methods in programming, volume 84, number 1, pp 92-105, 2015. -
Models and Complexity Results in Real-Time Scheduling Theory
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1324, Acta Universitatis Upsaliensis, Uppsala, 2015. -
Uniprocessor feasibility of sporadic tasks remains coNP-complete under bounded utilization
. In Proc. 36th Real-Time Systems Symposium, pp 87-95, IEEE Computer Society, 2015. -
Uniprocessor feasibility of sporadic tasks with constrained deadlines is strongly coNP-complete
. In Proc. 27th Euromicro Conference on Real-Time Systems, pp 281-286, IEEE, Piscataway, NJ, 2015. -
Machine learning for ultrafast X-ray diffraction patterns on large-scale GPU clusters
. In The international journal of high performance computing applications, volume 29, pp 233-243, 2015. -
Enabling design of performance-controlled sensor network applications through task allocation and reallocation
. In Proc. 11th International Conference on Distributed Computing in Sensor Systems, pp 248-253, IEEE Computer Society, 2015. -
ProFuN TG: A Tool Using Abstract Task Graphs to Facilitate the Development, Deployment and Maintenance of Wireless Sensor Network Applications
. In Proc. Poster/Demo Session: 12th European Conference on Wireless Sensor Networks, pp 19-20, 2015. -
ProFuN TG: A tool for programming and managing performance-aware sensor network applications
. In IEEE 40th Local Computer Networks Conference Workshops (LCN Workshops), pp 751-759, IEEE Computer Society, 2015. -
ProFuN TG: Programming Sensornets with Task Graphs for Increased Reliability and Energy-Efficiency
. In , IEEE Computer Society, 2015. -
Strong convergence for split-step methods in stochastic jump kinetics
. In SIAM Journal on Numerical Analysis, volume 53, pp 2655-2676, 2015. -
Automating regression verification
. In Software Engineering & Management 2015, volume 239 of Lecture Notes in Informatics, pp 75-76, Gesellschaft für Informatik, Germany, 2015. -
Internet of Things and Future Threats Towards our Society
. In Proc. National Symposium on Technology and Methodology for Security and Crisis Management: TAMSEC 2015, 2015. -
Concolic Testing for Functional Languages
. In Proceedings of the 17th international symposium on principles and practice of declarative programming (PPDP 2015), pp 137-148, Association for Computing Machinery (ACM), 2015. -
Probabilistic programs as spreadsheet queries
. In Programming Languages and Systems, volume 9032 of Lecture Notes in Computer Science, pp 1-25, Springer Berlin/Heidelberg, 2015. -
Motivation and Grade Gap Related to Gender in a Programming Course
. In , 2015. -
Data structures and algorithms for high-dimensional structured adaptive mesh refinement
. In Advances in Engineering Software, volume 82, pp 75-86, 2015. -
Bounding carry-in interference to improve fixed-priority global multiprocessor scheduling analysis
. In Proc. 21st International Conference on Embedded and Real-Time Computing Systems and Applications, pp 11-20, IEEE Computer Society, 2015. -
Delay analysis of structural real-time workload
. In Proc. 18th Conference on Design, Automation and Test in Europe, pp 223-228, IEEE, Piscataway, NJ, 2015. -
Modular performance analysis of energy-harvesting real-time networked systems
. In Proc. 36th Real-Time Systems Symposium, pp 65-74, IEEE Computer Society, 2015. -
Scalable timing analysis with refinement
. In Tools and Algorithms for the Construction and Analysis of Systems, volume 9035 of Lecture Notes in Computer Science, pp 3-18, Springer, 2015. -
Few is Just Enough!: Small Model Theorem for Parameterized Verification and Shape Analysis
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1302, Acta Universitatis Upsaliensis, Uppsala, 2015. -
Handover for a portable communication device between local and wide area wireless networks
. 2015. -
Mediator synthesis in a component algebra with data
. In Correct System Design, volume 9360 of Lecture Notes in Computer Science, pp 238-259, Springer, 2015. -
Parametric and nonparametric analysis of eye-tracking data by anomaly detection
. In IEEE Transactions on Control Systems Technology, volume 23, number 4, pp 1578-1586, 2015. -
Analyzing multimode wireless sensor networks using the network calculus
. In Journal of Sensors, volume 2015, pp 851608:1-12, 2015. -
Timeprints for identifying social media users with multiple aliases
. In Security Informatics, volume 4, pp 7:1-11, 2015. -
Detecting multipliers of jihadism on twitter
. In Proc. 15th ICDM Workshops, pp 954-960, IEEE Computer Society, 2015. -
AREP: Adaptive Resource Efficient Prefetching for Maximizing Multicore Performance
. In Proc. 24th International Conference on Parallel Architectures and Compilation Techniques, pp 367-378, IEEE Computer Society, 2015. -
Perf-Insight: A Simple, Scalable Approach to Optimal Data Prefetching in Multicores
. Technical report / Department of Information Technology, Uppsala University nr 2015-037, 2015. -
Noninvasive and continuous blood pressure monitoring using wearable body sensor networks
. In IEEE Intelligent Systems, volume 30, number 6, pp 38-48, 2015. -
Property-Based Testing of Sensor Networks
. In Proc. 12th International Conference on Sensing, Communication, and Networking, pp 100-108, IEEE Communications Society, 2015. -
Bixie: Finding and understanding inconsistent code
. In Proc. 37th IEEE/ACM International Conference on Software Engineering, pp 645-648, IEEE, Piscataway, NJ, 2015. -
Stochastic focusing coupled with negative feedback enables robust regulation in biochemical reaction networks
. In Journal of the Royal Society Interface, volume 12, number 113, pp 20150831:1-10, 2015. -
Stable difference methods for block-oriented adaptive grids
. In Journal of Scientific Computing, volume 65, pp 486-511, 2015. -
A Modeling Framework for Reuse Distance-based Estimation of Cache Performance
. In Performance Analysis of Systems and Software (ISPASS), 2015 IEEE International Symposium on, pp 62-71, IEEE, 2015. -
Forecasting Lock Contention Before Adopting Another Lock Algorithm
. 2015. -
Modal Logics for Nominal Transition Systems
. In 26th International Conference on Concurrency Theory: CONCUR 2015, volume 42 of Leibniz International Proceedings in Informatics (LIPIcs), pp 198-211, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2015. -
Cost-effective speculative scheduling in high performance processors
. In Proc. 42nd International Symposium on Computer Architecture, pp 247-259, ACM Press, New York, 2015. -
Callback: Efficient Synchronization without Invalidation with a Directory Just for Spin-Waiting
. In 2015 ACM/IEEE 42Nd Annual International Symposium On Computer Architecture (ISCA), pp 427-438, 2015. -
Hierarchical private/shared classification: The key to simple and efficient coherence for clustered cache hierarchies
. In Proc. 21st International Symposium on High Performance Computer Architecture, pp 186-197, IEEE Computer Society Digital Library, 2015. -
Parallel Stochastic Estimation on Multicore Platforms
. Ph.D. thesis, Uppsala Dissertations from the Faculty of Science and Technology nr 111, Acta Universitatis Upsaliensis, Uppsala, 2015. -
Parallel recursive estimation using Monte Carlo and orthogonal series expansions
. In Proc. American Control Conference: ACC 2015, pp 3905-3910, American Automatic Control Council, 2015. -
On recursion-free Horn clauses and Craig interpolation
. In Formal methods in system design, volume 47, number 1, pp 1-25, 2015. -
A radial basis function partition of unity collocation method for convection–diffusion equations arising in financial applications
. In Journal of Scientific Computing, volume 64, pp 341-367, 2015. -
Contention adapting search trees
. In Proc. 14th International Symposium on Parallel and Distributed Computing, pp 215-224, IEEE conference proceedings, 2015. -
Full speed ahead: Detailed architectural simulation at near-native speed
. In Proc. 18th International Symposium on Workload Characterization, pp 183-192, IEEE Computer Society, 2015. -
Conflict-Directed Graph Coverage
. In NASA FORMAL METHODS (NFM 2015), volume 9058 of Lecture Notes in Computer Science, pp 327-342, 2015. -
Long Term Parking (LTP): Criticality-aware Resource Allocation in OOO Processors
. In Proc. 48th International Symposium on Microarchitecture, pp 334-346, 2015. -
Radial basis function partition of unity methods for pricing vanilla basket options
. Technical report / Department of Information Technology, Uppsala University nr 2015-001, 2015. -
Combinatorial abstraction refinement for feasibility analysis of static priorities
. In Real-time systems, volume 51, number 6, pp 639-674, 2015. -
Graph-based models for real-time workload: a survey
. In Real-time systems, volume 51, number 5, pp 602-636, 2015. -
A scalable RBF–FD method for atmospheric flow
. In Journal of Computational Physics, volume 298, pp 406-422, 2015. -
Resource-aware task scheduling
. In ACM Transactions on Embedded Computing Systems, volume 14, number 1, pp 5:1-25, 2015. -
SuperGlue: A shared memory framework using data versioning for dependency-aware task-based parallelization
. In SIAM Journal on Scientific Computing, volume 37, pp C617-C642, 2015. -
Scaling predictive modeling in drug development with cloud computing
. In Journal of Chemical Information and Modeling, volume 55, pp 19-25, 2015. -
Accelerating COBAYA3 on multi-core CPU and GPU systems using PARALUTION
. In Annals of Nuclear Energy, volume 82, pp 252-259, 2015. -
Micro-Architecture Independent Analytical Processor Performance and Power Modeling
. In 2015 IEEE International Symposium on Performance Analysis and Software (ISPASS), IEEE International Symposium on Performance Analysis of Systems and Software-ISPASS, pp 32-41, 2015. -
The automatic detection and analysis of electrocardiogram based on Lorenz plot
. In Proc. 12th International Conference on Robotics and Biomimetics, pp 644-649, IEEE, Piscataway, NJ, 2015. -
Diffusion-based three-dimensional reconstruction of complex surface using monocular vision
. In Optics Express, volume 23, number 23, pp 30364-30378, 2015. -
Efficient shape reconstruction of microlens using optical microscopy
. In IEEE Transactions on Industrial Electronics, volume 62, number 12, pp 7655-7664, 2015. -
BENCHOP—The BENCHmarking project in Option Pricing
. In International Journal of Computer Mathematics, volume 92, pp 2361-2379, 2015. -
Measuring Polymorphism in Python Programs
. In DLS 2015: Proceedings of the 11th Symposium on Dynamic Languages, volume 51:2 2016 of ACM SIGPLAN Notices, pp 114-128, ACM Press, New York, 2015.
2014
-
Optimal dynamic partial order reduction
. In Proc. 41st ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, volume 49:1 of ACM SIGPLAN NOTICES, pp 373-384, ACM Press, New York, 2014. -
Block me if you can!: Context-sensitive parameterized verification
. In Static Analysis: SAS 2014, volume 8723 of Lecture Notes in Computer Science, pp 1-17, Springer, 2014. -
Budget-bounded model-checking pushdown systems
. In Formal methods in system design, volume 45, number 2, pp 273-301, 2014. -
Computing optimal reachability costs in priced dense-timed pushdown automata
. In Language and Automata Theory and Applications: LATA 2014, volume 8370 of Lecture Notes in Computer Science, pp 62-75, Springer Berlin/Heidelberg, 2014. -
Infinite-state energy games
. In Joint Meeting of the Twenty-Third EACSL Annual Conference on Computer Science Logic (CSL) and the Twenty-Ninth Annual ACM/IEEE Symposium on Logic in Computer Science (LICS), CSL-LICS '14, Vienna, Austria, July 14 - 18, 2014, ACM Press, New York, 2014. -
Mediating for reduction (on minimizing alternating Buchi automata)
. In Theoretical Computer Science, volume 552, pp 26-43, 2014. -
Stochastic Parity Games on Lossy Channel Systems
. In Logical Methods in Computer Science, volume 10, number 4, 2014. -
String Constraints for Verification
. In Computer Aided Verification - 26th International Conference, {CAV} 2014, Held as Part of the Vienna Summer of Logic, {VSL} 2014, Vienna, Austria, July 18-22, 2014. Proceedings, pp 150-166, Springer, 2014. -
Verification of Dynamic Register Automata
. In Leibniz International Proceedings in Informatics: IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science (FSTTCS 2014), 2014. -
Zenoness for Timed Pushdown Automata
. In Proceedings 15th International Workshop on Verification of Infinite-State Systems, {INFINITY} 2013, Hanoi, Vietnam, 14th October 2013., pp -47, 2014. -
The Gradual Verifier
. In NASA Formal Methods: 6th International Symposium, NFM 2014, Houston, TX, USA, April 29 – May 1, 2014. Proceedings, volume 8430 of Lecture Notes in Computer Science, pp 313-327, Switzerland, 2014. -
Programming and automating mathematics in the Tarski-Kleene hierarchy
. In Journal of Logical and Algebraic Methods in Programming, volume 83, number 2, pp 87-102, 2014. -
Activity profiles in online social media
. In Proc. 6th International Conference on Advances in Social Networks Analysis and Mining, pp 850-855, IEEE Computer Society, 2014. -
Adjacent Ordered Multi-Pushdown Systems
. In International Journal of Foundations of Computer Science, volume 25, number 8, pp 1083-1096, 2014. -
Context-Bounded Analysis of TSO Systems
. In From Programs to Systems: The Systems perspective in Computing, volume 8415 of Lecture Notes in Computer Science, pp 21-38, Springer, 2014. -
On Bounded Reachability Analysis of Shared Memory Systems
. In {IARCS} Annual Conference on Foundations of Software Technology and Theoretical Computer Science, {FSTTCS} 2014, December 15-17, 2014, New Delhi, India, 2014. -
Building timing predictable embedded systems
. In ACM Transactions on Embedded Computing Systems, volume 13, number 4, pp 82:1-37, 2014. -
Resilience and Opportunistic Forwarding: Beyond Average Value Analysis
. In Computer Communications, volume 48, number SI, pp 111-120, 2014. -
A Sorted Semantic Framework for Applied Process Calculi (extended abstract)
. In Trustworthy Global Computing: TGC 2013, volume 8358 of Lecture Notes in Computer Science, pp 103-118, Springer Berlin/Heidelberg, 2014. -
Proc. Combined 21st International Workshop on Expressiveness in Concurrency (EXPRESS 2014) and 11th Workshop on Structural Operational Semantics (SOS 2014)
. Volume 160 of Electronic Proceedings in Theoretical Computer Science, Open Publishing Association, 2014. -
Enhanced learning by promoting engineering competencies
. In Proc. 44th ASEE/IEEE Frontiers in Education Conference, pp 2044-2049, IEEE Press, Piscataway, NJ, 2014. -
Learning Extended Finite State Machines
. In Software Engineering and Formal Methods. 12th International Conference, SEFM 2014, volume 8702 of Lecture Notes in Computer Science, pp 250-264, Springer International Publishing, 2014. -
Managing power constraints in a single-core scenario through power tokens
. In Journal of Supercomputing, volume 68, number 1, pp 414-442, 2014. -
An algebraic theory of interface automata
. In Theoretical Computer Science, volume 549, pp 146-174, 2014. -
Compositional assume–guarantee reasoning for input/output component theories
. In Science of Computer Programming, volume 91, pp 115-137, 2014. -
The Effects of Granularity and Adaptivity on Private/Shared Classification for Coherence
. In , 2014. -
CPU and GPU performance of large scale numerical simulations in Geophysics
. In Euro-Par 2014: Parallel Processing Workshops, Part I, volume 8805 of Lecture Notes in Computer Science, pp 12-23, Springer, 2014. -
Parallel performance study of block-preconditioned iterative methods on multicore computer systems
. Technical report / Department of Information Technology, Uppsala University nr 2014-007, 2014. -
Bounding and shaping the demand of generalized mixed-criticality sporadic task systems
. In Real-time systems, volume 50, number 1, pp 48-86, 2014. -
A software based profiling method for obtaining speedup stacks on commodity multi-cores
. In 2014 IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE (ISPASS): ISPASS 2014, IEEE International Symposium on Performance Analysis of Systems and Software-ISPASS, pp 148-157, IEEE Computer Society, 2014. -
Approximations for the moments of nonstationary and state dependent birth–death queues
. In Computing Research Repository, number 1406.6164, 2014. -
On the stability of stochastic jump kinetics
. In Applied Mathematics, volume 5, pp 3217-3239, 2014. -
X-ray laser imaging of biomolecules using multiple GPUs
. In Parallel Processing and Applied Mathematics: Part I, volume 8384 of Lecture Notes in Computer Science, pp 480-489, Springer-Verlag, Berlin, 2014. -
How do the dynamics of battery discharge affect sensor lifetime?
. In 2014 11th Annual Conference on Wireless On-demand Network Systems and Services (WONS), pp 49-56, IEEE, Piscataway, NJ, 2014. -
Automating regression verification
. In ASE '14 Proceedings of the 29th ACM/IEEE international conference on Automated software engineering, pp 349-360, ACM Press, New York, 2014. -
Dynamic budgeting for settling DRAM contention of co-running hard and soft real-time tasks
. In Proc. 9th International Symposium on Industrial Embedded Systems, pp 151-159, IEEE, Piscataway, NJ, 2014. -
Static safety guarantees for a low-level multithreaded language with regions
. In Science of Computer Programming, volume 80, number Part B, pp 223-263, 2014. -
Tabular: a schema-driven probabilistic programming language
. In Proc. 41st ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, volume 49:1 of ACM SIGPLAN NOTICES, pp 321-334, ACM Press, New York, 2014. -
Adaptive Solvers for High-Dimensional PDE Problems on Clusters of Multicore Processors
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1199, Acta Universitatis Upsaliensis, Uppsala, 2014. -
Data structures and algorithms for high-dimensional structured adaptive mesh refinement
. Technical report / Department of Information Technology, Uppsala University nr 2014-019, 2014. -
Parallel data structures and algorithms for high-dimensional structured adaptive mesh refinement
. Technical report / Department of Information Technology, Uppsala University nr 2014-020, 2014. -
Partitioned mixed-criticality scheduling on multiprocessor platforms
. In Proc. 17th Conference on Design, Automation and Test in Europe, IEEE, Piscataway, NJ, 2014. -
General and Efficient Response Time Analysis for EDF Scheduling
. In Proc. 17th Conference on Design, Automation and Test in Europe, IEEE, Piscataway, NJ, 2014. -
WCET Analysis with MRU Cache: Challenging LRU for Predictability
. In ACM Transactions on Embedded Computing Systems, volume 13, number 4s, 2014. -
Advancing concurrent system verification: Type based approach and tools
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2014-007, Uppsala University, 2014. -
A constraint programming approach for managing end-to-end requirements in sensor network macroprogramming
. In Proc. 3rd International Conference on Sensor Networks, pp 28-40, SciTePress, Setúbal, Portugal, 2014. -
All is not lost: Understanding and exploiting packet corruption in outdoor sensor networks
. In Wireless Sensor Networks: EWSN 2014, volume 8354 of Lecture Notes in Computer Science, pp 116-132, Springer Berlin/Heidelberg, 2014. -
Supporting Heterogeneous LCD/Camera Links
. In Proc. 13th International Symposium on Information Processing in Sensor Networks, pp 289-290, IEEE Press, Piscataway, NJ, 2014. -
Horn Clauses for Communicating Timed Systems
. In Proceedings First Workshop on Horn Clauses for Verification and Synthesis, volume 169 of Electronic Proceedings in Theoretical Computer Science, pp 39-52, 2014. -
Dynamic autotuning of adaptive fast multipole methods on hybrid multicore CPU and GPU systems
. In SIAM Journal on Scientific Computing, volume 36, pp C376-C399, 2014. -
Fix the code. Don't tweak the hardware: A new compiler approach to Voltage–Frequency scaling
. In Proc. 12th International Symposium on Code Generation and Optimization, pp 262-272, ACM Press, New York, 2014. -
Performance isolation for real-time systems with Xen hypervisor on multi-cores
. In Proc. 20th International Conference on Embedded and Real-Time Computing Systems and Applications, IEEE, Piscataway, NJ, 2014. -
Time profiles for identifying users in online environments
. In Proc. 1st Joint Intelligence and Security Informatics Conference, pp 83-90, IEEE Computer Society, 2014. -
Analysis and mining of tags, (micro)blogs, and virtual communities
. In Encyclopedia of Social Network Analysis and Mining, pp 19-25, Springer, 2014. -
A case for resource efficient prefetching in multicores
. In Proc. International Symposium on Performance Analysis of Systems and Software: ISPASS 2014, pp 137-138, IEEE Computer Society, 2014. -
A case for resource efficient prefetching in multicores
. In Proc. 43rd International Conference on Parallel Processing, pp 101-110, IEEE Computer Society, 2014. -
Resource conscious prefetching for irregular applications in multicores
. In Proc. International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIV), pp 34-43, IEEE, Piscataway, NJ, 2014. -
Brief announcement: queue delegation locking
. In Proc. 26th ACM Symposium on Parallelism in Algorithms and Architectures, pp 70-72, ACM Press, New York, 2014. -
Delegation locking libraries for improved performance of multithreaded programs
. In Euro-Par 2014: Parallel Processing, volume 8632 of Lecture Notes in Computer Science, pp 572-583, Springer Berlin/Heidelberg, 2014. -
Session types for broadcasting
. In Proc. 7th Workshop on Programming Language Approaches to Concurrency and Communication-cEntric Software, volume 155 of Electronic Proceedings in Theoretical Computer Science, pp 25-31, 2014. -
Impact of code refactoring using object-oriented methodology on a scientific computing application
. In Proc. 14th International Working Conference on Source Code Analysis and Manipulation, pp 125-134, IEEE Computer Society, Los Alamitos, CA, 2014. -
On the impact of the heterogeneous multicore and many-core platforms on iterative solution methods and preconditioning techniques
. In High-Performance Computing on Complex Environments, pp 13-32, Wiley-Blackwell, Hoboken, NJ, 2014. -
Quality-of-information-aware data collection for mobile sensor networks
. In Pervasive and Mobile Computing, volume 11, pp 203-215, 2014. -
Extending statistical cache models to support detailed pipeline simulators
. In 2014 IEEE International Symposium On Performance Analysis Of Systems And Software (Ispass), IEEE International Symposium on Performance Analysis of Systems and Software-ISPASS, pp 86-95, IEEE Computer Society, 2014. -
Haggle: Opportunistic mobile content sharing using search
. In Computer Communications, volume 48, pp 121-132, 2014. -
Modeling cache coherence misses on multicores
. In 2014 IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE (ISPASS), IEEE International Symposium on Performance Analysis of Systems and Software-ISPASS, pp 96-105, IEEE, 2014. -
Higher-order psi-calculi
. In Mathematical Structures in Computer Science, volume 24, number 2, Cambridge University Press, 2014. -
Nonlinear estimation of a parsimonious Wiener model for the neuromuscular blockade in closed-loop anesthesia
. In Proc. 19th IFAC World Congress, pp 9258-9264, International Federation of Automatic Control, 2014. -
Parallel recursive Bayesian estimation on multicore computational platforms using orthogonal basis functions
. In Proc. American Control Conference: ACC 2014, pp 622-627, American Automatic Control Council, 2014. -
More scalable ordered set for ETS using adaptation
. In Proc. 13th ACM SIGPLAN Workshop on Erlang, pp 3-11, ACM Press, New York, 2014. -
Full Speed Ahead: Detailed Architectural Simulation at Near-Native Speed
. Technical report / Department of Information Technology, Uppsala University nr 2014-005, 2014. -
Understanding Multicore Performance: Efficient Memory System Modeling and Simulation
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1136, Acta Universitatis Upsaliensis, Uppsala, 2014. -
The Direct-to-Data (D2D) Cache: Navigating the cache hierarchy with a single lookup
. In Proc. 41st International Symposium on Computer Architecture, pp 133-144, IEEE Press, Piscataway, NJ, 2014. -
A tunable cache for approximate computing
. In Proc. 10th International Symposium on Nanoscale Architectures, IEEE International Symposium on Nanoscale Architectures, pp 88-89, IEEE, Piscataway, NJ, 2014. -
Power-Efficient Computer Architectures: Recent Advances
. Morgan & Claypool Publishers, 2014. -
Real-Time Workload Models: Expressiveness vs. Analysis Efficiency
. Ph.D. thesis, Uppsala Dissertations from the Faculty of Science and Technology nr 103, Acta Universitatis Upsaliensis, Uppsala, 2014. -
Refinement-based Exact Response-Time Analysis
. In 2014 26TH EUROMICRO CONFERENCE ON REAL-TIME SYSTEMS (ECRTS 2014), Euromicro Workshop on Real-Time Systems-Proceedings, pp 143-152, 2014. -
Service guarantee exploration for mixed-criticality systems
. In Proc. 20th International Conference on Embedded and Real-Time Computing Systems and Applications, IEEE, Piscataway, NJ, 2014. -
Improving the response time analysis of global fixed-priority multiprocessor scheduling
. In Proc. 20th International Conference on Embedded and Real-Time Computing Systems and Applications, IEEE, Piscataway, NJ, 2014. -
A task parallel implementation of an RBF-generated finite difference method for the shallow water equations on the sphere
. Technical report / Department of Information Technology, Uppsala University nr 2014-011, 2014. -
Scientific Computing on Multicore Architectures
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1139, Acta Universitatis Upsaliensis, Uppsala, 2014. -
SuperGlue: A shared memory framework using data versioning for dependency-aware task-based parallelization
. Technical report / Department of Information Technology, Uppsala University nr 2014-010, 2014. -
Approximations for Model Construction
. In Automated Reasoning, volume 8562 of Lecture Notes in Computer Science, pp 344-359, Springer, 2014. -
Understanding the Dynamic Caches on Intel Processors: Methods and Applications
. In 2014 12th IEEE International Conference on Embedded and Ubiquitous Computing, pp 58-64, 2014. -
Scaling Future Software: The Manycore Challenge
. 2014. -
Priorities Without Priorities: Representing Preemption in Psi-Calculi
. In Proc. 21st International Workshop on Expressiveness in Concurrency, and 11th Workshop on Structural Operational Semantics, volume 160 of Electronic Proceedings in Theoretical Computer Science, pp 2-15, 2014.
2013
-
All for the price of few: (Parameterized verification through view abstraction)
. In Verification, Model Checking, and Abstract Interpretation, volume 7737 of Lecture Notes in Computer Science, pp 476-495, Springer Berlin/Heidelberg, 2013. -
An Integrated Specification and Verification Technique for Highly Concurrent Data Structures
. In Tools and Algorithms for the Construction and Analysis of Systems, 2013. -
Analysis of message passing programs using SMT-solvers
. In Automated Technology for Verification and Analysis: ATVA 2013, volume 8172 of Lecture Notes in Computer Science, pp 272-286, Springer Berlin/Heidelberg, 2013. -
MEMORAX, a Precise and Sound Tool for Automatic Fence Insertion under TSO
. In Tools and Algorithms for the Construction and Analysis of Systems, volume 7795 of Lecture Notes in Computer Science, pp 530-536, Springer Berlin/Heidelberg, 2013. -
Monotonic abstraction for programs with multiply-linked structures
. In International Journal of Foundations of Computer Science, volume 24, number 2, pp 187-210, 2013. -
Priced timed Petri nets
. In Logical Methods in Computer Science, volume 9, number 4, pp 10:1-51, 2013. -
Push-down automata with gap-order constraints
. In Fundamentals of Software Engineering: FSEN 2013, volume 8161 of Lecture Notes in Computer Science, pp 199-216, Springer Berlin/Heidelberg, 2013. -
Solving parity games on integer vectors
. In CONCUR 2013 – Concurrency Theory, volume 8052 of Lecture Notes in Computer Science, pp 106-120, Springer Berlin/Heidelberg, 2013. -
Tools for software verification: Introduction to the special section from the seventeenth international conference on tools and algorithms for the construction and analysis of systems
. In International Journal on Software Tools for Technology Transfer, volume 15, number 2, pp 85-88, 2013. -
Veri?cation of heap manipulating programs with ordered data by extended forest automata
. In Automated Technology for Verification and Analysis: ATVA 2013, volume 8172 of Lecture Notes in Computer Science, pp 224-239, Springer Berlin/Heidelberg, 2013. -
Verification of Directed Acyclic Ad Hoc Networks
. In Formal Techniques for Distributed Systems: FORTE 2013, volume 7892 of Lecture Notes in Computer Science, pp 193-208, Springer Berlin/Heidelberg, 2013. -
Verifying safety and liveness for the FlexTM hybrid transactional memory
. In , pp 785-790, Grenoble, France, 2013. -
Scientific analysis by queries in extended SPARQL over a scalable e-Science data store
. In Proc. 9th International Conference on e-Science, pp 98-106, IEEE Computer Society, Los Alamitos, CA, 2013. -
A theory for control-flow graph exploration
. In Automated Technology for Verification and Analysis: ATVA 2013, volume 8172 of Lecture Notes in Computer Science, pp 506-515, Springer Berlin/Heidelberg, 2013. -
On using Erlang for parallelization: Experience from parallelizing Dialyzer
. In Trends in Functional Programming, volume 7829 of Lecture Notes in Computer Science, pp 295-310, Springer Berlin/Heidelberg, 2013. -
Adjacent ordered multi-pushdown systems
. In Developments in Language Theory: DLT 2013, volume 7907 of Lecture Notes in Computer Science, pp 58-69, Springer Berlin/Heidelberg, 2013. -
Automated Mediator Synthesis: Combining Behavioural and Ontological Reasoning
. In SEFM 2013, 11th Int. Conf. on Software Engineering and Formal Methods, volume 8137 of Lecture Notes in Computer Science, pp 274-288, Springer, 2013. -
Deriving Probability Density Functions from Probabilistic Functional Programs
. In Tools and Algorithms for the Construction and Analysis of Systems: 19th International Conference, TACAS 2013, Held as Part of the European Joint Conferences on Theory and Practice of Software, ETAPS 2013, Rome, Italy, March 16-24, 2013. Proceedings, volume 7795 of Lecture Notes in Computer Science, pp 508-522, Springer Berlin/Heidelberg, Berlin/Heidelberg, 2013. -
Flexible and High-Speed System-Level Performance Analysis using Hardware-Accelerated Simulation
. In , Design, Automation & Test in Europe (DATE), Grenoble, France, 2013. -
Resilience and Opportunistic Forwarding: Beyond Average Value Analysis
. In CHANTS '13 Proceedings of the 8th ACM MobiCom workshop on Challenged networks, 2013. -
A Parametric Tool for Applied Process Calculi
. In 13th International Conference on Application of Concurrency to System Design (ACSD 2013), International Conference on Application of Concurrency to System Design, pp 180-185, IEEE Computer Society, 2013. -
Measure transformer semantics for Bayesian machine learning
. In Logical Methods in Computer Science, volume 9, number 3, p 11, 2013. -
Proceedings Combined 20th International Workshop on Expressiveness in Concurrency and 10th Workshop on Structural Operational Semantics
. Volume 120 of Electronic Proceedings in Theoretical Computer Science, Open Publishing Association, 2013. -
RELEASE: A high-level paradigm for reliable large-scale server software
. In Trends in Functional Programming, volume 7829 of Lecture Notes in Computer Science, pp 263-278, Springer Berlin/Heidelberg, 2013. -
Computer science students’ perception of computer network security
. In Proc. 1st International Conference on Learning and Teaching in Computing and Engineering, pp 204-207, IEEE Computer Society, Los Alamitos, CA, 2013. -
Towards adaptive zero-knowledge protocols: A case study with Fiat–Shamir identification protocol
. In Proc. 9th Swedish National Computer Networking Workshop, pp 67-70, 2013. -
Fördjupat lärande genom aktiverande examination
. In 4:e Utvecklingskonferensen för Sveriges ingenjörsutbildningar, pp 51-54, 2013. -
Shared Resource Sensitivity in Task-Based Runtime Systems
. In Proc. 6th Swedish Workshop on Multi-Core Computing, Halmstad University Press, 2013. -
Efficient inter-core power and thermal balancing for multicore processors
. In Computing, volume 95, number 7, pp 537-566, 2013. -
Assume-guarantee reasoning for safe component behaviours
. In Formal Aspects of Component Software: 9th International Symposium, FACS 2012, Mountain View, CA, USA, September 12-14, 2012. Revised Selected Papers, volume 7684 LNCS of Lecture Notes in Computer Science, pp 92-109, 2013. -
An Algebraic Theory of Interface Automata
. University of Oxford, 2013. -
Systematic testing for detecting concurrency errors in Erlang programs
. In Proc. 6th International Conference on Software Testing, Verification and Validation, pp 154-163, IEEE Computer Society, 2013. -
Bayesian Inference Using Data Flow Analysis
. In ESEC/FSE '13: Proceedings of the 9th joint meeting of the European Software Engineering Conference and the ACM SIGSOFT Symposium on the Foundations of Software Engineering, pp 92-102, ACM Press, New York, NY, USA, 2013. -
Beyond the Geneva Convention on the Treatment of Object Aliasing
. In Aliasing in Object-Oriented Programming: Types, Analysis, and Verification, volume 7850 of Lecture Notes in Computer Science, pp 1-6, Springer Berlin/Heidelberg, 2013. -
Ownership Types: A Survey
. In Aliasing in Object-Oriented Programming: Types, Analysis, and Verification, volume 7850 of Lecture Notes in Computer Science, pp 15-58, Springer Berlin/Heidelberg, 2013. -
Ranking function synthesis for bit-vector relations
. In Formal methods in system design, volume 43, number 1, pp 93-120, 2013. -
System and method for data classification and efficient virtual cache coherence without reverse translation
. 2013. -
The well-posedness of diffuse interface modeling of surfactants in two-phase fluid flow
. In Wetting and Evaporation: Droplets of Pure and Complex Fluids, pp 80-81, Aix-Marseille Université, France, 2013. -
Bandwidth Bandit: Quantitative Characterization of Memory Contention
. In Proc. 11th International Symposium on Code Generation and Optimization: CGO 2013, pp 99-108, IEEE Computer Society, 2013. -
On diffuse interface modeling and simulation of surfactants in two-phase fluid flow
. In Communications in Computational Physics, volume 14, pp 879-915, 2013. -
A Model-Learner Pattern for Bayesian Reasoning
. In Proceedings of the 40th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages, volume 48 of ACM SIGPLAN NOTICES, pp 403-416, Association for Computing Machinery (ACM), New York, NY, 2013. -
Adaptive fast multipole methods on the GPU
. In Journal of Supercomputing, volume 63, pp 897-918, 2013. -
Improving OCBP-based scheduling for mixed-criticality sporadic task systems
. In Proc. 19th International Conference on Embedded and Real-Time Computing Systems and Applications, IEEE Computer Society, 2013. -
FIFO cache analysis for WCET estimation: A quantitative approach
. In Proc. 16th Conference on Design, Automation and Test in Europe, pp 296-301, IEEE, Piscataway, NJ, 2013. -
Finitary Real-Time Calculus: Efficient Performance Analysis of Distributed Embedded Systems
. In Proc. Real-Time Systems Symposium: RTSS 2013, IEEE Computer Society, 2013. -
New Techniques for Building Timing-Predictable Embedded Systems
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1094, Acta Universitatis Upsaliensis, Uppsala, 2013. -
Parallel implementation of the Sherman–Morrison matrix inverse algorithm
. In Applied Parallel and Scientific Computing, volume 7782 of Lecture Notes in Computer Science, pp 206-219, Springer-Verlag, Berlin, 2013. -
SoNIC: Classifying interference in 802.15.4 sensor networks
. In Proc. 12th International Conference on Information Processing in Sensor Networks, pp 55-66, ACM Press, New York, 2013. -
Scientific computing on hybrid architectures
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2013-002, Uppsala University, 2013. -
Skitracker: Measuring skiing performance using a body-area network
. In Proc. 12th International Conference on Information Processing in Sensor Networks, pp 319-320, ACM Press, New York, 2013. -
Non-parametric analysis of eye-tracking data by anomaly detection
. In Proc. 12th European Control Conference, pp 632-637, IEEE, 2013. -
Detecting multiple aliases in social media
. In Proc. 5th International Conference on Advances in Social Networks Analysis and Mining, pp 1004-1011, ACM Press, New York, 2013. -
A New Perspective for Efficient Virtual-Cache Coherence
. In Proceedings of the 40th Annual International Symposium on Computer Architecture, pp 535-546, 2013. -
On the scalability of the Erlang term storage
. In Proc. 12th ACM SIGPLAN Workshop on Erlang, pp 15-26, ACM Press, New York, 2013. -
A Galerkin radial basis function method for the Schrödinger equation
. In SIAM Journal on Scientific Computing, volume 35, pp A2832-A2855, 2013. -
Towards Power Efficiency on Task-Based, Decoupled Access-Execute Models
. In PARMA 2013, 4th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures, 2013. -
Towards more efficient execution: a decoupled access-execute approach
. In Proc. 27th ACM International Conference on Supercomputing, pp 253-262, ACM Press, New York, 2013. -
Gender-aware course reform in Scientific Computing
. In International journal of engineering education, volume 29, number 2, pp 403-414, 2013. -
Radial basis function methods in computational finance
. In Proc. 13th International Conference on Computational and Mathematical Methods in Science and Engineering: Volume III, pp 895-906, Universidad de Almería, Spain, 2013. -
Skors skönhet ur en matematikers perspektiv
. In Skor är huvudsaken: Sjutton kvinnliga forskares funderingar om skor, pp 30-31, Uppsala universitet, 2013. -
Stable computation of differentiation matrices and scattered node stencils based on Gaussian radial basis functions
. In SIAM Journal on Scientific Computing, volume 35, pp A2096-A2119, 2013. -
Preface: e-Science Applications and Tools
. In Future Generation Computer Systems, volume 29, number 8, pp 2115-2116, 2013. -
A Skiplist-based Concurrent Priority Queue with Minimal Memory Contention
. In OPODIS 2013: 17th International Conference On Principles Of DIstributed Systems, volume 8304 of Lecture Notes in Computer Science, pp 206-220, Springer Berlin/Heidelberg, Berlin, 2013. -
A priori power estimation of linear solvers on multi-core processors
. Technical report / Department of Information Technology, Uppsala University nr 2013-020, 2013. -
Stable and accurate wave simulations in complex geometries and discontinuous media
. In Proc. 11th International Conference on Mathematical and Numerical Aspects of Waves, pp 201-202, ENIT, Tunisia, 2013. -
MAPfastR: Quantitative trait loci mapping in outbred line crosses
. In G3: Genes, Genomes, Genetics, volume 3, pp 2147-2149, 2013. -
Fast and accurate detection of multiple quantitative trait loci
. In Journal of Computational Biology, volume 20, pp 687-702, 2013. -
Evaluating Battery Models in Wireless Sensor Networks
. In Wired/Wireless Internet Communication, volume 7889 of Lecture Notes in Computer Science, pp 29-42, Springer Berlin/Heidelberg, 2013. -
Efficient parallel implementation of state estimation algorithms on multicore platforms
. In IEEE Transactions on Control Systems Technology, volume 21, number 1, pp 107-120, 2013. -
Non-parametric anomaly detection in trajectorial data
. 2013. -
Parallelization of stochastic estimation algorithms on multicore computational platforms
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2013-001, Uppsala University, 2013. -
Parallelization of the Kalman filter on multicore computational platforms
. In Control Engineering Practice, volume 21, number 9, pp 1188-1194, 2013. -
Classifying and Solving Horn Clauses for Verification
. In Fifth Working Conference on Verified Software: Theories, Tools and Experiments (VSTTE), 2013. -
Disjunctive Interpolants for Horn-Clause Verification
. In Computer Aided Verification: CAV 2013, volume 8044 of Lecture Notes in Computer Science, pp 347-363, Springer Berlin/Heidelberg, 2013. -
Exploring Interpolants
. In Formal Methods in Computer-Aided Design (FMCAD), 2013. -
A radial basis function partition of unity collocation method for convection-diffusion equations
. Technical report / Department of Information Technology, Uppsala University nr 2013-023, 2013. -
Precise explanation of success typing errors
. In Proc. ACM SIGPLAN 2013 Workshop on Partial Evaluation and Program Manipulation, pp 33-42, ACM Press, New York, 2013. -
Modeling performance variation due to cache sharing
. In Proc. 19th IEEE International Symposium on High Performance Computer Architecture, pp 155-166, IEEE Computer Society, 2013. -
TLC: A tag-less cache for reducing dynamic first level cache energy
. In Proceedings of the 46th International Symposium on Microarchitecture, pp 49-61, ACM Press, New York, 2013. -
Author recognition in discussion boards
. In National Symposium on Technology and Methodology for Security and Crisis Management, 2013. -
Introducing DVFS-Management in a Full-System Simulator
. In Proc. 21st International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, IEEE Computer Society, 2013. -
Combinatorial Abstraction Refinement for Feasibility Analysis
. In IEEE 34TH REAL-TIME SYSTEMS SYMPOSIUM (RTSS 2013), Real-Time Systems Symposium-Proceedings, pp 340-349, 2013. -
The fork-join real-time task model
. In ACM SIGBED Review, volume 10, number 2, pp 20-20, 2013. -
Accurate surface embedding for higher order finite elements
. In Proc. 12th ACM SIGGRAPH/Eurographics Symposium on Computer Animation, pp 187-192, ACM Press, New York, 2013. -
A task parallel implementation of a scattered node stencil-based solver for the shallow water equations
. In Proc. 6th Swedish Workshop on Multi-Core Computing, pp 33-36, Halmstad University, Halmstad, Sweden, 2013. -
Resource-aware task scheduling
. In 4th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures (PARMA), p 6, Tech. Univ. Berlin, Germany, 2013. -
Accelerating COBAYA3 on multi-core CPU and GPU systems using PARALUTION
. In Proc. 2nd Joint International Conference on Supercomputing in Nuclear Applications and Monte Carlo, La Société Française d'Energie Nucléaire, Paris, France, 2013. -
Structured Aliasing
. In Aliasing in Object-Oriented Programming: Types, Analysis, and Verification, volume 7850 of Lecture Notes in Computer Science, pp 512-513, Springer Berlin/Heidelberg, 2013. -
Bells and Whistles: Advanced language features in psi-calculi
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2013-004, Uppsala University, 2013. -
Negative premises in applied process calculi
. Technical report / Department of Information Technology, Uppsala University nr 2013-014, 2013.
2012
-
Regular model checking for LTL(MSO)
. In International Journal on Software Tools for Technology Transfer, volume 14, number 2, pp 223-241, Springer, 2012. -
Adding time to pushdown automata
. In Quantities in Formal Methods: QFM 2012, volume 103 of Electronic Proceedings in Theoretical Computer Science, pp 1-16, 2012. -
Automatic fence insertion in integer programs via predicate abstraction
. In Static Analysis, volume 7460 of Lecture Notes in Computer Science, pp 164-180, Springer-Verlag, Berlin, 2012. -
Counter-Example Guided Fence Insertion under TSO
. In Tools and Algorithms for the Construction and Analysis of Systems, volume 7214 of Lecture Notes in Computer Science, pp 204-219, Springer-Verlag, Berlin, 2012. -
Dense-Timed Pushdown Automata
. In Proc. 27th ACM/IEEE Symposium on Logic in Computer Science, pp 35-44, IEEE Computer Society, 2012. -
Multi-Pushdown Systems with Budgets
. In Formal Methods in Computer-Aided Design, pp 24-33, 2012. -
Petri Nets with Time and Cost
. INFINITY 2012, 14th International Workshop on Verification of Infinite-State Systems, 2012. -
Regular model checking
. In International Journal on Software Tools for Technology Transfer, volume 14, number 2, pp 109-118, 2012. -
The minimal cost reachability problem in priced timed pushdown systems
. In Language and Automata Theory and Applications: 6th International Conference, LATA 2012, A Coruña, Spain, March 5-9, 2012, volume 7183 of Lecture Notes in Computer Science, pp 58-69, Springer-Verlag, Berlin, 2012. -
Timed lossy channel systems
. In IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science: FSTTCS 2012, volume 18 of Leibniz International Proceedings in Informatics, pp 374-386, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2012. -
A scalability benchmark suite for Erlang/OTP
. In Proc. 11th ACM SIGPLAN Workshop on Erlang, pp 33-42, ACM Press, New York, 2012. -
Detecting fair non-termination in multithreaded programs
. In Computer Aided Verification, volume 7358 of Lecture Notes in Computer Science, pp 210-227, Springer-Verlag, Berlin, 2012. -
Linear-Time Model-Checking for Multithreaded Programs under Scope-Bounding
. In Automated Technology for Verification and Analysis: ATVA 2012, volume 7561 of Lecture Notes in Computer Science, pp 152-166, Springer Berlin/Heidelberg, 2012. -
Model-Checking of Ordered Multi-Pushdown Automata
. In Logical Methods in Computer Science, volume 8, number 3, p 20, 2012. -
What's decidable about weak memory models?
. In Programming Languages and Systems, volume 7211 of Lecture Notes in Computer Science, pp 26-46, Springer Berlin/Heidelberg, 2012. -
A social node model for realising information dissemination strategies in delay tolerant networks
. In Proceedings of the 15th ACM international conference on Modeling, analysis and simulation of wireless and mobile systems, pp 79-88, ACM Press, New york, 2012. -
Professionella kompetenser i projektkurser
. In Proc. 3:e Utvecklingskonferensen för Sveriges ingenjörsutbildningar, volume 75 of Linköping Electronic Conference Proceedings, pp 123-128, Linköping University Electronic Press, 2012. -
The impact of trace and adversary models on location privacy provided by K-anonymity
. In Proc. 1st Workshop on Measurement, Privacy, and Mobility, ACM Press, New York, 2012. -
A succinct canonical register automaton model for data domains with binary relations
. In Automated Technology for Verification and Analysis: 10th International Symposium, ATVA 2012, Thiruvananthapuram, India, October 3-6, 2012. Proceedings, volume 7561 of Lecture Notes in Computer Science, pp 57-71, Springer, 2012. -
A Compositional Specification Theory for Component Behaviours
. In Programming Languages and Systems - 21st European Symposium on Programming, ESOP 2012,, Lecture Notes in Computer Science, pp 148-168, 2012. -
A Compositional Specification Theory for Component Behaviours
. 2012. -
Assume-Guarantee Reasoning for Safe Component Behaviours
. In Proc. FACS: Formal Aspects of Component Software, 9th Int. Symp., volume 7684 of Lecture Notes in Computer Science, pp 92-109, Springer, 2012. -
URDME: a modular framework for stochastic simulation of reaction-transport processes in complex geometries
. In BMC Systems Biology, volume 6, pp 76:1-17, 2012. -
Bounding and shaping the demand of mixed-criticality sporadic tasks
. In Proc. 24th Euromicro Conference on Real-Time Systems, pp 135-144, IEEE Computer Society, 2012. -
A Profiling Method for Analyzing Scalability Bottlenecks on Multicores
. 2012. -
Bandwidth bandit: Quantitative characterization of memory contention
. In Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT, pp 457-458, 2012. -
Profiling Methods for Memory Centric Software Performance Analysis
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1000, Acta Universitatis Upsaliensis, Uppsala, 2012. -
Quantitative Characterization of Memory Contention
. Technical report / Department of Information Technology, Uppsala University nr 2012-029, Uppsala universitet, Uppsala, 2012. -
On the stability of stochastic jump kinetics
. Technical report / Department of Information Technology, Uppsala University nr 2012-005, 2012. -
Adaptive fast multipole methods on the GPU
. Technical report / Department of Information Technology, Uppsala University nr 2012-012, 2012. -
Fixed-Priority Multiprocessor Scheduling: Critical Instant, Response Time and Utilization Bound
. In 2012 IEEE 26TH INTERNATIONAL PARALLEL AND DISTRIBUTED PROCESSING SYMPOSIUM WORKSHOPS & PHD FORUM (IPDPSW), IEEE International Symposium on Parallel and Distributed Processing Workshops and PhD Forum-IPDPSW, pp 2470-2473, 2012. -
Parametric Utilization Bounds for Fixed-Priority Multiprocessor Scheduling
. In 2012 IEEE 26th International Parallel and Distributed Processing Symposium (IPDPS), International Parallel and Distributed Processing Symposium IPDPS, pp 261-272, 2012. -
WCET analysis with MRU caches: Challenging LRU for predictability
. In Proc. 18th Real-Time and Embedded Technology and Applications Symposium, pp 55-64, IEEE Computer Society, 2012. -
Communication-efficient algorithms for numerical quantum dynamics
. In Applied Parallel and Scientific Computing: Part II, volume 7134 of Lecture Notes in Computer Science, pp 368-378, Springer-Verlag, Berlin, 2012. -
Numerical evaluation of the Communication-Avoiding Lanczos algorithm
. Technical report / Department of Information Technology, Uppsala University nr 2012-001, 2012. -
Towards an adaptive solver for high-dimensional PDE problems on clusters of multicore processors
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2012-003, Uppsala University, 2012. -
Efficiently parallel implementation of the inverse Sherman–Morrison algorithm
. Technical report / Department of Information Technology, Uppsala University nr 2012-017, 2012. -
A Lightweight Approach to Online Detection and Classification of Interference in 802.15.4-based Sensor Networks
. In ACM SIGBED Review, volume 9, number 3, pp 11-20, 2012. -
Global Source Mobility in the Content-Centric Networking Architecture
. In Proc. 1st ACM Workshop on Emerging Name-Oriented Mobile Networking Design: Architecture, Algorithms, and Applications, pp 13-18, ACM Press, New York, 2012. -
Accelerating interpolants
. In Automated Technology for Verification and Analysis: 10th International Symposium, ATVA 2012, Thiruvananthapuram, India, October 3-6, 2012. Proceedings, volume 7561 of Lecture Notes in Computer Science, pp 187-202, 2012. -
A verification toolkit for numerical transition systems
. In FM 2012: Formal Methods, volume 7436 of Lecture Notes in Computer Science, pp 247-251, Springer Berlin/Heidelberg, 2012. -
Efficiently implementing Monte Carlo electrostatics simulations on multicore accelerators
. In Applied Parallel and Scientific Computing: Part II, volume 7134 of Lecture Notes in Computer Science, pp 379-388, Springer-Verlag, Berlin, 2012. -
Inferring semantic interfaces of data structures
. In Leveraging Applications of Formal Methods, Verification and Validation. Technologies for Mastering Change: 5th International Symposium, ISoLA 2012, Heraklion, Crete, Greece, October 15-18, 2012, Proceedings, Part I, volume 7609 of Lecture Notes in Computer Science, pp 554-571, 2012. -
Inferring Canonical Register Automata
. In Verification, Model Checking, and Abstract Interpretation - 13th International Conference,, volume 7148 of Lecture Notes in Computer Science, pp 251-266, Springer, 2012. -
Computing Strong and Weak Bisimulations for Psi-Calculi
. In Journal of Logic and Algebraic Programming, volume 81, number 3, pp 162-180, Elsevier, 2012. -
Using refinement calculus techniques to prove linearizability
. In Formal Aspects of Computing, volume 24, number 4-6, pp 537-554, 2012. -
Low Overhead Instruction-Cache Modeling Using Instruction Reuse Profiles
. In International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD'12), Computer Architecture and High Performance Computing, pp 260-269, IEEE Computer Society, 2012. -
An adaptive pseudospectral method for wave packet dynamics
. In Journal of Chemical Physics, volume 137, pp 044111:1-12, 2012. -
An RBF–Galerkin approach to the time-dependent Schrödinger equation
. Technical report / Department of Information Technology, Uppsala University nr 2012-024, 2012. -
Stable computation of differentiation matrices and scattered node stencils based on Gaussian radial basis functions
. Technical report / Department of Information Technology, Uppsala University nr 2012-020, 2012. -
Demonstrating Learning of Register Automata
. In Tools and Algorithms for the Construction and Analysis of Systems - 18th International Conference,, volume 7214 of Lecture Notes in Computer Science, pp 466-471, Springer Berlin/Heidelberg, Berlin, 2012. -
Quality-of-Information aware data collection for mobile sensor networks
. In Proc. 10th International Conference on Pervasive Computing and Communications Workshops, pp 38-43, IEEE Communications Society, 2012. -
Predicting the Cost of Lock Contention in Parallel Applications on Multicores using Analytic Modeling
. In Proc. 5th Swedish Workshop on Multi-Core Computing, 2012. -
On preserving term sharing in the Erlang virtual machine
. In Proc. 11th ACM SIGPLAN Workshop on Erlang, pp 11-20, ACM Press, New York, 2012. -
Extending psi-calculi and their formal proofs
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2012-008, Uppsala University, 2012. -
SoNIC: Classifying and Surviving Interference in 802.15.4-based Sensor Networks
. Technical report / Department of Information Technology, Uppsala University nr 2012-022, 2012. -
Making the Most of Your Contacts: Transfer Ordering in Data-Centric Opportunistic Networks
. In Proceedings of the 2012 ACM MobiOpp Workshop on Mobile Opportunistic Networks, ACM Press, Zürich, 2012. -
Complexity-effective multicore coherence
. In Proc. 21st International Conference on Parallel Architectures and Compilation Techniques, pp 241-251, ACM Press, New York, 2012. -
An on-line algorithm for anomaly detection in trajectory data
. In Proc. American Control Conference: ACC 2012, pp 1117-1122, American Automatic Control Council, 2012. -
Parallelization of the Kalman filter for banded systems on multicore computational platforms
. In Proc. 51st Conference on Decision and Control, pp 2022-2027, IEEE, Piscataway, NJ, 2012. -
E-matching with free variables
. In Logic for Programming, Artificial Intelligence, and Reasoning, volume 7180 of Lecture Notes in Computer Science, pp 359-374, Springer Berlin/Heidelberg, 2012. -
ErLLVM: An LLVM backend for Erlang
. In Proc. 11th ACM SIGPLAN Workshop on Erlang, pp 21-32, ACM Press, New York, 2012. -
Efficient techniques for predicting cache sharing and throughput
. In Proc. 21st International Conference on Parallel Architectures and Compilation Techniques, pp 305-314, ACM Press, New York, 2012. -
Efficient techniques for detecting and exploiting runtime phases
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2012-009, Uppsala University, 2012. -
Phase Behavior in Serial and Parallel Applications
. In International Symposium on Workload Characterization (IISWC'12), IEEE Computer Society, 2012. -
Phase Guided Profiling for Fast Cache Modeling
. In International Symposium on Code Generation and Optimization (CGO'12), pp 175-185, ACM Press, 2012. -
Power-Sleuth: A Tool for Investigating your Program's Power Behavior
. In International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS'12), pp 241-250, 2012. -
Hardness Results for Static Priority Real-Time Scheduling
. In Proceedings Of The 24th Euromicro Conference On Real-Time Systems (Ecrts 2012), Euromicro Workshop on Real-Time Systems-Proceedings, pp 189-198, 2012. -
Leveraging multicore processors for scientific computing
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2012-006, Uppsala University, 2012. -
Investigating an open source cloud storage infrastructure for CERN-specific data analysis
. In Proc. 7th International Conference on Networking, Architecture, and Storage, pp 84-88, IEEE Computer Society, Los Alamitos, CA, 2012. -
Structured Aliasing
. In ECOOP 2012 – Object-Oriented Programming, volume 7313 of Lecture Notes in Computer Science, pp 232-232, Springer Berlin/Heidelberg, 2012. -
Programming models based on data versioning for dependency-aware task-based parallelisation
. In Proc. 15th International Conference on Computational Science and Engineering, pp 275-280, IEEE Computer Society, Los Alamitos, CA, 2012. -
Abstractions for scaling eScience applications to distributed computing environments: A StratUm integration case study in molecular systems biology
. In Proc. 3rd International Conference on Bioinformatics Models, Methods and Algorithms, pp 290-294, SciTePress, Setúbal, Portugal, 2012. -
Reducing complexity in management of eScience computations
. In Proc. 12th International Symposium on Cluster, Cloud and Grid Computing, pp 845-852, IEEE Computer Society, Los Alamitos, CA, 2012. -
Multiple Aggregate Entry Points for Ownership Types
. In ECOOP 2012 – Object-Oriented Programming, volume 7313 of Lecture Notes in Computer Science, pp 156-180, Springer Berlin/Heidelberg, 2012. -
The Joelle Programming Language: Evolving Java Programs Along Two Axes of Parallel Eval
. 2012 International Workshop on Languages for the Multi-core Era, 2012.
2011
-
A classification of the expressive power of well-structured transition systems
. In Information and Computation, volume 209, number 3, pp 248-279, 2011. -
Advanced Ramsey-based Büchi automata inclusion testing
. In CONCUR 2011 — Concurrency Theory, volume 6901 of Lecture Notes in Computer Science, pp 187-202, Springer Berlin/Heidelberg, 2011. -
Automatic verification of directory-based consistency protocols with graph constraints
. In International Journal of Foundations of Computer Science, volume 22, number 4, pp 761-782, 2011. -
Computing Optimal Coverability Costs in Priced Timed Petri Nets
. In LICS'2011, Proceedings of the 26th Annual IEEE Symposium on Logic in Computer Science, IEEE Symposium on Logic in Computer Science, pp 399-408, 2011. -
On the verification of timed ad hoc networks
. In Formal Modeling and Analysis of Timed Systems: FORMATS 2011, volume 6919 of Lecture Notes in Computer Science, pp 256-270, Springer Berlin/Heidelberg, 2011. -
Approximating Petri net reachability along context-free traces
. In IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science: FSTTCS 2011, volume 13 of Leibniz International Proceedings in Informatics, pp 152-163, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2011. -
Context-bounded analysis for concurrent programs with dynamic creation of threads
. In Logical Methods in Computer Science, volume 7, number 4, pp 4:1-48, 2011. -
Getting rid of store-buffers in TSO analysis
. In Computer Aided Verification: CAV 2011, volume 6806 of Lecture Notes in Computer Science, pp 99-115, Springer-Verlag, Berlin, 2011. -
On Yen's path logic for Petri nets
. In International Journal of Foundations of Computer Science, volume 22, number 4, pp 783-799, 2011. -
Maintaining Database Integrity with Refinement Types
. In ECOOP 2011 – Object-Oriented Programming, volume 6813 of Lecture Notes in Computer Science, pp 484-509, Springer-Verlag, Berlin, 2011. -
Developing UPPAAL over 15 years
. In Software, practice & experience, volume 41, number 2, pp 133-142, 2011. -
Psi-calculi: a framework for mobile processes with nominal data and logic
. In Logical Methods in Computer Science, volume 7, number 1, p 11, 2011. -
Refinement types for secure implementations
. In ACM Transactions on Programming Languages and Systems, volume 33, number 2, pp 8:1-45, 2011. -
Congestion Avoidance in a Data-Centric Opportunistic Network
. In Proceedings of the 2011 ACM SIGCOMM Workshop on Information-Centric Networking (ICN-2011), 2011. -
Haggle Testbed: a Testbed for Opportunistic Networks
. In In Proceedings of the 7th Swedish National Computer Networking Workshop, 2011. -
Broadcast Psi-calculi with an Application to Wireless Protocols
. In Software Engineering and Formal Methods: SEFM 2011, volume 7041 of Lecture Notes in Computer Science, pp 74-89, Springer Berlin/Heidelberg, 2011. -
Verified Stateful Programs with Substructural State and Hoare Types
. In Proc. 5th ACM Workshop on Programming Languages Meets Program Verification, pp 15-26, ACM Press, New York, 2011. -
An interpolating sequent calculus for quantifier-free Presburger arithmetic
. In Journal of automated reasoning, volume 47, number 4, pp 341-367, 2011. -
Beyond quantifier-free interpolation in extensions of Presburger arithmetic
. In Verification, Model Checking, and Abstract Interpretation: VMCAI 2011, volume 6538 of Lecture Notes in Computer Science, pp 88-102, Springer Berlin/Heidelberg, 2011. -
A succinct canonical register automaton model
. In Automated Technology for Verification and Analysis: ATVA 2011, volume 6996 of Lecture Notes in Computer Science, pp 366-380, Springer-Verlag, Berlin, 2011. -
Leakage-efficient design of value predictors through state and non-state preserving techniques
. In Journal of Supercomputing, volume 55, number 1, pp 28-50, 2011. -
Power Token Balancing: Adapting CMPs to power constraints for parallel multithreaded workloads
. In Proc. 25th International Parallel and Distributed Processing Symposium, pp 431-442, IEEE, Piscataway, NJ, 2011. -
Detection of asynchronous message passing errors using static analysis
. In Practical Aspects of Declarative Languages, volume 6539 of Lecture Notes in Computer Science, pp 5-18, Springer Berlin/Heidelberg, 2011. -
Automatic analysis of DMA races using model checking and k-induction
. In Formal methods in system design, volume 39, number 1, pp 83-113, 2011. -
SCRATCH: a tool for automatic analysis of DMA races
. In Proc. 16th ACM Symposium on Principles and Practice of Parallel Programming, pp 311-312, ACM Press, New York, 2011. -
Software verification using <em>k</em>-induction
. In Static Analysis: SAS 2011, volume 6887 of Lecture Notes in Computer Science, pp 351-368, Springer Berlin/Heidelberg, 2011. -
Tightening test coverage metrics: A case study in equivalence checking using <em>k</em>-induction
. In Formal Methods for Components and Objects: FMCO 2010, volume 6957 of Lecture Notes in Computer Science, pp 297-315, Springer Berlin/Heidelberg, 2011. -
Computing Systems: Research Challenges Ahead: The HiPEAC Vision 2011/2012
. 2011. -
A distributed swarm-intelligent localization for sensor networks with mobile nodes
. In Proc. 7th International Wireless Communications and Mobile Computing Conference, pp 83-88, IEEE, Piscataway, NJ, 2011. -
Cache Pirating: Measuring the curse of the shared cache
. Technical report / Department of Information Technology, Uppsala University nr 2011-001, 2011. -
Cache Pirating: Measuring the Curse of the Shared Cache
. In Proc. 40th International Conference on Parallel Processing, pp 165-175, IEEE Computer Society, 2011. -
Efficient methods for application performance analysis
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2011-001, Uppsala University, 2011. -
Fast modeling of shared caches in multicore systems
. In Proc. 6th International Conference on High Performance and Embedded Architectures and Compilers, pp 147-157, ACM Press, New York, 2011. -
On well-separated sets and fast multipole methods
. In Applied Numerical Mathematics, volume 61, pp 1096-1102, 2011. -
Mobile system for establishing the lactate threshold by analysing the respiratory air
. In Proc. 2nd International Conference on Ambulatory Monitoring of Physical Activity and Movement, pp 102-102, Glasgow Caledonian University, Scotland, 2011. -
Stable computations with Gaussian radial basis functions
. In SIAM Journal on Scientific Computing, volume 33, pp 869-892, 2011. -
A type and effect system for deadlock avoidance in low-level languages
. In Proc. 6th International Workshop on Types in Language Design and Implementation, pp 15-28, ACM Press, New York, 2011. -
Effective and efficient scheduling of certifiable mixed-criticality sporadic task systems
. In Proc. Real-Time Systems Symposium, pp 13-23, IEEE, Piscataway, NJ, 2011. -
Resource sharing protocols for real-time task graph systems
. In Proc. 23rd Euromicro Conference on Real-Time Systems, pp 272-281, IEEE, Piscataway, NJ, 2011. -
Schedulability analysis for non-preemptive fixed-priority multiprocessor scheduling
. In Journal of systems architecture, volume 57, number 5, pp 536-546, 2011. -
Stable difference methods for block-structured adaptive grids
. Technical report / Department of Information Technology, Uppsala University nr 2011-022, 2011. -
Test-case generation for embedded Simulink via formal concept analysis
. In Proc. 48th Design Automation Conference, pp 224-229, ACM Press, New York, 2011. -
Mobile Sources in an Information-Centric Network with Hierarchical Names: An Indirection Approach
. In Proc. 7th Swedish National Computer Networking Workshop, 2011. -
Sensei-UU — a relocatable WSN testbed supporting repeatable node mobility
. In Testbeds and Research Infrastructures: Development of Networks and Communities, volume 46 of Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering, pp 612-614, Springer-Verlag, Berlin, 2011. -
A simple model for tuning tasks
. In Proc. 4th Swedish Workshop on Multi-Core Computing, pp 45-49, Linköping University, Linköping, Sweden, 2011. -
Twitter in Disaster Mode: Opportunistic Communication and Distribution of Sensor Data in Emergencies
. In ExtremeCom2011, ACM Press, 2011. -
Twitter in Disaster Mode: Security Architecture
. In Conference on emerging Networking EXperiments and Technologies (CoNEXT): Special Workshop on the Internet and Disasters (SWID), ACM Press, Tokyo, 2011. -
Memory access aware mapping for networks-on-chip
. In Proc. 17th International Conference on Embedded and Real-Time Computing Systems and Applications, pp 339-348, IEEE, Piscataway, NJ, 2011. -
Learning of automata models extended with data
. In Formal Methods for Eternal Networked Software Systems: SFM 2011, Advanced Lectures, volume 6659 of Lecture Notes in Computer Science, pp 327-349, Springer Berlin/Heidelberg, 2011. -
Energy-efficient scheduling for parallel real-time tasks based on level-packing
. In Proc. 26th ACM Symposium on Applied Computing, pp 635-640, ACM Press, New York, 2011. -
Energy-efficient scheduling of real-time tasks on cluster-based multicores
. In Proc. 14th Conference on Design, Automation and Test in Europe, IEEE, Piscataway, NJ, 2011. -
Global error control of the time-propagation for the Schrödinger equation with a time-dependent Hamiltonian
. In Journal of Computational Science, volume 2, pp 178-187, 2011. -
Radial basis functions for the time-dependent Schrödinger equation
. In Numerical Analysis and Applied Mathematics: ICNAAM 2011, volume 1389 of AIP Conference Proceedings, pp 1323-1326, American Institute of Physics (AIP), Melville, NY, 2011. -
Using hardware transactional memory for high-performance computing
. In Proc. 25th International Symposium on Parallel and Distributed Processing Workshops and PhD Forum, pp 1660-1667, IEEE, Piscataway, NJ, 2011. -
McAiT — a timing analyzer for multicore real-time software
. In Automated Technology for Verification and Analysis, volume 6996 of Lecture Notes in Computer Science, pp 414-417, Springer-Verlag, Berlin, 2011. -
Robust and flexible Internet connectivity for mobile ad hoc networks
. In Ad hoc networks, volume 9, number 1, pp 1-15, Elsevier, 2011. -
Repeatable experiments with mobile nodes in a relocatable WSN testbed
. In Computer journal, volume 54, number 12, pp 1973-1986, 2011. -
Efficient Parallel Implementation of a Kalman Filter for Single Output Systems on Multicore Computational Platforms
. In Proc. 50th Conference on Decision and Control, IEEE, Piscataway, NJ, 2011. -
A simple statistical cache sharing model for multicores
. In Proc. 4th Swedish Workshop on Multi-Core Computing, pp 31-36, Linköping University, Linköping, Sweden, 2011. -
Efficient software-based online phase classification
. In International Symposium on Workload Characterization (IISWC'11), pp 104-115, IEEE Computer Society, 2011. -
Green governors: A framework for continuously adaptive DVFS
. In Proc. International Green Computing Conference and Workshops: IGCC 2011, pp 1-8, IEEE, Piscataway, NJ, 2011. -
Power-performance adaptation in Intel core i7
. In Proc. 2nd Workshop on Computer Architecture and Operating System co-design, p 10, Computer Science and Artificial Intelligence Laboratory, MIT, Cambridge, MA, 2011. -
On the tractability of digraph-based task models
. In Proc. 23rd Euromicro Conference on Real-Time Systems, pp 162-171, IEEE, Piscataway, NJ, 2011. -
The digraph real-time task model
. In 17th Real-Time and Embedded Technology and Applications Symposium, IEEE Real-Time and Embedded Technology and Application Symposium, pp 71-80, IEEE Computer Society, Piscataway, NJ, 2011. -
Implementation of a collocated boundary element method for acoustic wave propagation in multilayered fluid media
. Technical report / Department of Information Technology, Uppsala University nr 2011-016, 2011. -
Lower trees with fixed degrees: a recipe for efficient secure hierarchical aggregation in WSNs
. In 2011 IEEE WIRELESS COMMUNICATIONS AND NETWORKING CONFERENCE (WCNC), pp 659-664, IEEE Computer Society, 2011. -
A scalable architecture for e-Science data management
. In Proc. 7th International Conference on e-Science, pp 210-217, IEEE Computer Society, Los Alamitos, CA, 2011. -
A LEGO-Based Mobile Robotic Platform for Evaluation of Parallel Control and Estimation Algorithms
. In Proc. 50th Conference on Decision and Control, pp 4548-4553, IEEE, Piscataway, NJ, 2011. -
Is the World Ready for Ownership Types? Is Ownership Types Ready for the World?
. International Workshop on Aliasing, Confinement and Ownership in object-oriented programming: IWACO at ECOOP (Vetenskapsrådet), 2011. -
Owners as Ombudsmen: Multiple Aggregate Entry Points for Ownership Types
. International Workshop on Aliasing, Confinement and Ownership in object-oriented programming: IWACO at ECOOP (Vetenskapsrådet), 2011. -
Implementation and empirical comparison of partitioning-based multi-core scheduling
. In Proc. 6th International Symposium on Industrial Embedded Systems, pp 248-255, IEEE, Piscataway, NJ, 2011. -
Towards the implementation and evaluation of semi-partitioned multi-core scheduling
. In Bringing Theory to Practice: Predictability and Performance in Embedded Systems, volume 18 of OpenAccess Series in Informatics, pp 42-46, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2011. -
Regions as Owners: A Discussion on Ownership-based Effects in Practice
. International Workshop on Aliasing, Confinement and Ownership in object-oriented programming: IWACO at ECOOP, 2011.
2010
-
Generating Models of Infinite-State Communication Protocols Using Regular Inference with Abstraction
. In Testing Software and Systems: ICTSS 2010, volume 6435 of Lecture Notes in Computer Science, pp 188-204, Springer-Verlag, Berlin, 2010. -
Analyzing the security in the GSM radio network using attack jungles
. In Leveraging Applications of Formal Methods, Verification, and Validation: Part I, volume 6415 of Lecture Notes in Computer Science, pp 60-74, Springer-Verlag, Berlin, 2010. -
Constrained monotonic abstraction: A CEGAR for parameterized verification
. In CONCUR 2010 – Concurrency Theory, volume 6269 of Lecture Notes in Computer Science, pp 86-101, Springer-Verlag, Berlin, 2010. -
Forcing monotonicity in parameterized verification: From multisets to words
. In SOFSEM 2010: Theory and Practice of Computer Science, volume 5901 of Lecture Notes in Computer Science, pp 1-15, Springer-Verlag, Berlin, 2010. -
Sampled semantics of timed automata
. In Logical Methods in Computer Science, volume 6, number 3, pp 14:1-37, 2010. -
Simulation subsumption in Ramsey-based Büchi automata universality and inclusion testing
. In Computer Aided Verification, volume 6174 of Lecture Notes in Computer Science, pp 132-147, Springer-Verlag, Berlin, 2010. -
Well (and better) quasi-ordered transition systems
. In Bulletin of Symbolic Logic, volume 16, number 4, pp 457-515, 2010. -
When simulation meets antichains: On checking language inclusion of nondeterministic finite (tree) automata
. In Tools and Algorithms for the Construction and Analysis of Systems, volume 6015 of Lecture Notes in Computer Science, pp 158-174, Springer-Verlag, Berlin, 2010. -
From multi to single stack automata
. In CONCUR 2010 – Concurrency Theory, volume 6269 of Lecture Notes in Computer Science, pp 117-131, Springer-Verlag, Berlin, 2010. -
Global model checking of ordered multi-pushdown systems
. In IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science: FSTTCS 2010, volume 8 of Leibniz International Proceedings in Informatics, pp 216-227, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2010. -
On the verification problem for weak memory models
. In Proc. 37th ACM Symposium on Principles of Programming Languages, pp 7-18, ACM Press, New York, 2010. -
Formalising process calculi
. Ph.D. thesis, Uppsala Dissertations from the Faculty of Science and Technology nr 93, Acta Universitatis Upsaliensis, Uppsala, 2010. -
Interest dissemination in a searchable data-centric opportunistic network
. In Proc. European Wireless Conference: EW 2010, pp 889-895, IEEE, Piscataway, NJ, 2010. -
Block-Parallel Programming for Real-time Embedded Applications
. In Proc. 39th International Conference on Parallel Processing, pp 297-306, IEEE, Piscataway, NJ, 2010. -
Inferring Compact Models of Communication Protocol Entities
. In Leveraging Applications of Formal Methods, Verification, and Validation: Part I, volume 6415 of Lecture Notes in Computer Science, pp 658-672, Springer-Verlag, Berlin, 2010. -
Invariant synthesis for programs manipulating lists with unbounded data
. In Computer Aided Verification, volume 6174 of Lecture Notes in Computer Science, pp 72-88, Springer-Verlag, Berlin, 2010. -
A note on radial basis function interpolant limits
. In IMA Journal of Numerical Analysis, volume 30, pp 543-554, 2010. -
Tribal ownership
. In Proc. 1st International Conference on Systems, Programming, Languages, and Applications: Software for Humanity, volume 45:10 of ACM SIGPLAN Notices, pp 618-633, ACM Press, New York, 2010. -
Static detection of race conditions in Erlang
. In Practical Aspects of Declarative Languages: PADL 2010, volume 5937 of Lecture Notes in Computer Science, pp 119-133, Springer-Verlag, Berlin, 2010. -
StatCC: a statistical cache contention model
. In Proc. 19th International Conference on Parallel Architectures and Compilation Techniques, pp 551-552, ACM Press, New York, 2010. -
StatStack: Efficient modeling of LRU caches
. In Proc. International Symposium on Performance Analysis of Systems and Software: ISPASS 2010, pp 55-65, IEEE, Piscataway, NJ, 2010. -
A concurrent language with a uniform treatment of regions and locks
. In Proc. 2nd Workshop on Programming Language Approaches to Concurrency and communication-cEntric Software: PLACES 2009, volume 17 of Electronic Proceedings in Theoretical Computer Science, pp 79-93, 2010. -
Race-free and memory-safe multithreading: Design and implementation in Cyclone
. In Proc. 5th International Workshop on Types in Language Design and Implementation, pp 15-26, ACM Press, New York, 2010. -
Learning of event-recording automata
. In Theoretical Computer Science, volume 411, number 47, pp 4029-4054, 2010. -
Fixed-Priority Multiprocessor Scheduling with Liu & Layland's Utilization Bound
. In Proc. 16th Real-Time and Embedded Technology and Applications Symposium, pp 165-174, IEEE, Piscataway, NJ, 2010. -
Handover for a portable communication device between local and wide area wireless networks
. EU, WO, 2010. -
An implementation framework for solving high-dimensional PDEs on massively parallel computers
. In Numerical Mathematics and Advanced Applications: 2009, pp 417-424, Springer-Verlag, Berlin, 2010. -
Efficient cache modeling with sparse data
. In Processor and System-on-Chip Simulation, pp 193-209, Springer, New York, 2010. -
On Handling Data in Automata Learning: Considerations from the CONNECT Perspective
. In Leveraging Applications of Formal Methods, Verification, and Validation: Part II, volume 6416 of Lecture Notes in Computer Science, pp 221-235, Springer-Verlag, Berlin, 2010. -
A Grid-Enabled Problem Solving Environment for QTL Analysis in R
. In Proc. 2nd International Conference on Bioinformatics and Computational Biology, pp 202-209, ISCA, Cary, NC, 2010. -
Computational and visualization tools for genetic analysis of complex traits
. Technical report / Department of Information Technology, Uppsala University nr 2010-001, 2010. -
A Fully Abstract Symbolic Semantics for Psi-Calculi
. In Proc. 6th Workshop on Structural Operational Semantics: SOS 2009, volume 18 of Electronic Proceedings in Theoretical Computer Science, pp 17-31, 2010. -
Weak Equivalences in Psi-calculi
. In Proc. 25th Symposium on Logic in Computer Science: LICS 2010, pp 322-331, IEEE, Piscataway, NJ, 2010. -
SARC coherence: Scaling directory cache coherence in performance and power
. In IEEE Micro, volume 30, number 5, pp 54-65, 2010. -
Interval-based models for run-time DVFS orchestration in superscalar processors
. In Proc. 7th International Conference on Computing Frontiers, pp 287-296, ACM Press, New York, 2010. -
Where replacement algorithms fail: a thorough analysis
. In Proc. 7th International Conference on Computing Frontiers, pp 141-150, ACM Press, New York, 2010. -
Minimizing Multi-Resource Energy for Real-Time Systems with Discrete Operation Modes
. In Proc. 22nd Euromicro Conference on Real-Time Systems, pp 113-122, IEEE, Piscataway, NJ, 2010. -
A Fourier-coefficient based solution of an optimal control problem in quantum chemistry
. In Journal of Optimization Theory and Applications, volume 147, pp 491-506, 2010. -
Efficient algorithms for multidimensional global optimization in genetic mapping of complex traits
. In Advances and Applications in Bioinformatics and Chemistry, volume 3, pp 75-88, 2010. -
Early results using hardware transactional memory for high-performance computing applications
. In Proc. 3rd Swedish Workshop on Multi-Core Computing, pp 93-97, Chalmers University of Technology, Göteborg, Sweden, 2010. -
Combining abstract interpretation with model checking for timing analysis of multicore software
. In Proc. Real-Time Systems Symposium: RTSS 2010, pp 339-349, IEEE, Piscataway, NJ, 2010. -
Static worst-case execution time analysis of the ?C/OS-II real-time kernel
. In Frontiers of Computer Science in China, volume 4, number 1, pp 17-27, 2010. -
Assessing a multiple QTL search using the variance component model
. In Computational biology and chemistry (Print), volume 34, pp 34-41, 2010. -
Stochastically Guaranteed Global Optimums Achievable with a Divide-and-Conquer Approach to Multidimensional QTL Searches
. Technical report / Department of Information Technology, Uppsala University nr 2010-006, 2010. -
MLP-aware instruction queue resizing: The key to power-efficient performance
. In Architecture of Computing Systems – ARCS 2010, volume 5974 of Lecture Notes in Computer Science, pp 113-125, Springer-Verlag, Berlin, 2010. -
Repeatable experiments with mobile nodes in a relocatable WSN testbed
. In Proc. 6th IEEE International Conference on Distributed Computing in Sensor Systems Workshops: DCOSSW 2010, pp 1-6, IEEE Computer Society, 2010. -
Sensei-UU: a relocatable sensor network testbed
. In Proc. 5th ACM International Workshop on Wireless Network Testbeds, Experimental Evaluation and Characterization, pp 63-70, ACM Press, 2010. -
Speedup and tracking accuracy evaluation of parallel particle filter algorithms implemented on a multicore architecture
. In Proc. International Conference on Control Applications: CCA 2010, pp 440-445, IEEE, Piscataway, NJ, 2010. -
Using static analysis to detect type errors and concurrency defects in Erlang programs
. In Functional and Logic Programming: FLOPS 2010, volume 6009 of Lecture Notes in Computer Science, pp 13-18, Springer-Verlag, Berlin, 2010. -
A Software Technique for Reducing Cache Pollution
. In Proc. 3rd Swedish Workshop on Multi-Core Computing, pp 59-62, Chalmers University of Technology, Göteborg, Sweden, 2010. -
Reducing Cache Pollution Through Detection and Elimination of Non-Temporal Memory Accesses
. In Proc. International Conference for High Performance Computing, Networking, Storage and Analysis: SC 2010, p 11, IEEE, Piscataway, NJ, 2010. -
Parallelizing multicore cache simulations on GPUs
. In Proc. 3rd Swedish Workshop on Multi-Core Computing, pp 3-8, Chalmers University of Technology, Göteborg, Sweden, 2010. -
An efficient task-based approach for solving the <em>n</em>-body problem on multicore architectures
. PARA 2010: State of the Art in Scientific and Parallel Computing, University of Iceland, Reykjavík, 2010. -
Case-study for different models of resource brokering in grid systems
. Technical report / Department of Information Technology, Uppsala University nr 2010-009, 2010. -
Verifying parallel programs with dynamic communication structures
. In Theoretical Computer Science, volume 411, pp 3460-3468, 2010. -
Analysis and visualization of information quality of technical documentation
. In Proc. 4th European Conference on Information Management and Evaluation, pp 388-396, Academic Conferences, Reading, UK, 2010. -
Information quality testing
. In Perspectives in Business Informatics Research, volume 64 of Lecture Notes in Business Information Processing, pp 14-26, Springer-Verlag, Berlin, 2010. -
Multicore embedded systems: The timing problem and possible solutions
. In Formal Methods and Software Engineering, volume 6447 of Lecture Notes in Computer Science, pp 22-23, Springer-Verlag, Berlin, 2010. -
Welterweight Java
. In Objects, Models, Components, Patterns, volume 6141 of Lecture Notes in Computer Science, pp 97-116, Springer-Verlag, Berlin, 2010.
2009
-
Approximated Context-Sensitive Analysis for Parameterized Verification
. In Formal Techniques for Distributed Systems: Joint 11th IFIP WG 6.1 International Conference FMOODS 2009 and 29th IFIP WG 6.1 International Conference FORTE 2009, Lisboa, Portugal, June 9-12, 2009. Proceedings, volume 5522 of Lecture notes in computer science, pp 41-56, 2009. -
Approximated parameterized verification of infinite-state processes with global conditions
. In Formal methods in system design, volume 34, number 2, pp 126-156, 2009. -
Automatic Verification of Directory-Based Consistency Protocols
. In Reachability Problems: 3rd International Workshop, RP 2009, Palaiseau, France, September 23-25, 2009. Proceedings, volume 5797 of Lecture notes in computer science, pp 36-50, 2009. -
Monotonic Abstraction: on Efficient Verification of Parameterized Systems
. In International Journal of Foundations of Computer Science, volume 20, number 5, pp 779-801, 2009. -
A uniform (bi-)simulation-based framework for reducing tree automata
. In Electronic Notes in Theoretical Computer Science, volume 251, pp 27-48, 2009. -
Composed bisimulation for tree automata
. In International Journal of Foundations of Computer Science, volume 20, number 4, pp 685-700, 2009. -
Universality of R-automata with value copying
. In Electronic Notes in Theoretical Computer Science, volume 239, pp 131-141, 2009. -
Formalising the ?-calculus using nominal logic
. In Logical Methods in Computer Science, volume 5, number 2, pp 16:1-36, 2009. -
Psi-calculi: Mobile processes, nominal data, and logic
. In Proc. 24th Annual IEEE Symposium on Logic in Computer Science, pp 39-48, IEEE, Piscataway, NJ, 2009. -
Psi-calculi in Isabelle
. In Theorem Proving in Higher Order Logics, volume 5674 of Lecture Notes in Computer Science, pp 99-114, Springer-Verlag, Berlin, 2009. -
Analytical solutions for a single blade in vertical axis turbine motion in two dimensions
. In European journal of mechanics. B, Fluids, volume 28, pp 506-520, 2009. -
Galerkin spectral method applied to the chemical master equation
. In Communications in Computational Physics, volume 5, pp 871-896, 2009. -
Parallel in time simulation of multiscale stochastic chemical kinetics
. In Multiscale Modeling & simulation, volume 8, pp 46-68, 2009. -
Simulation of stochastic reaction-diffusion processes on unstructured meshes
. In SIAM Journal on Scientific Computing, volume 31, pp 1774-1797, 2009. -
Spectral approximation of solutions to the chemical master equation
. In Journal of Computational and Applied Mathematics, volume 229, pp 208-221, 2009. -
Stable computations with Gaussian radial basis functions in 2-D
. Technical report / Department of Information Technology, Uppsala University nr 2009-020, 2009. -
Cache-aware scheduling and analysis for multicores
. In Proc. 9th ACM International Conference on Embedded Software, pp 245-254, ACM Press, New York, 2009. -
Improving scalability of model-checking for minimizing buffer requirements of synchronous dataflow graphs
. In Proc. 14th Asia and South Pacific Design Automation Conference, pp 715-720, IEEE, Piscataway, NJ, 2009. -
New Response Time Bounds for Fixed Priority Multiprocessor Scheduling
. In Proc. Real-Time Systems Symposium: RTSS 2009, pp 387-397, IEEE, Piscataway, NJ, 2009. -
Efficient implementation of a high-dimensional PDE-solver on multicore processors
. In Proc. 2nd Swedish Workshop on Multi-Core Computing, pp 64-66, Department of Information Technology, Uppsala University, Uppsala, Sweden, 2009. -
CONNECT Challenges: Towards Emergent Connectors for Eternal Networked Systems
. In Proc. 14th International Conference on Engineering of Complex Computer Systems, pp 154-161, IEEE, Piscataway, NJ, 2009. -
A grid portal for genetic analysis of complex traits
. In Proc. 32nd International Convention on Information and Communication Technology, Electronics and Microelectronics: Volume I, pp 281-284, MIPRO, Rijeka, Croatia, 2009. -
Using SPIN to model check concurrent algorithms, using a translation from C to Promela
. In Proc. 2nd Swedish Workshop on Multi-Core Computing, pp 67-69, Department of Information Technology, Uppsala University, Uppsala, Sweden, 2009. -
A Fourier-coefficient based solution of an optimal control problem in quantum chemistry
. Technical report / Department of Information Technology, Uppsala University nr 2009-022, 2009. -
Global error control of the time-propagation for the Schrödinger equation with a time-dependent Hamiltonian
. Technical report / Department of Information Technology, Uppsala University nr 2009-021, 2009. -
Implicit-explicit Runge-Kutta methods for stiff combustion problems
. In Shock Waves: Volume 1, pp 299-304, Springer-Verlag, Berlin, 2009. -
WCET Analysis of the ?C/OS-II Real-Time Kernel
. In Proc. 12th International Conference on Computational Science and Engineering: Vol. 2, pp 270-276, IEEE, Piscataway, NJ, 2009. -
cnF2freq: Efficient determination of genotype and haplotype probabilities in outbred populations using Markov models
. In Bioinformatics and Computational Biology, volume 5462 of Lecture Notes in Computer Science, pp 307-319, Springer-Verlag, Berlin, 2009. -
A Search-based Network Architecture for Mobile Devices
. Technical report / Department of Information Technology, Uppsala University nr 2009-003, 2009. -
Haggle: A Data-centric Network Architecture for Mobile Devices
. 10th ACM International Symposium on Mobile Ad Hoc Networking and Computing: Mobihoc Wireless S3 Workshop (Invited talk), 2009. -
Parallel Structured Adaptive Mesh Refinement
. In Parallel Computing: Numerics, Applications, and Trends, pp 147-173, Springer-Verlag, London, 2009. -
Sensei-UU: a flexible testbed for heterogeneous wireless sensor networks
. In Testbeds and Research Infrastructures for the Development of Networks Communities and Workshops, 2009. TridentCom 2009. 5th International Conference on, pp 1-2, 2009. -
Automatic refactoring of Erlang programs
. In Proc. 11th ACM SIGPLAN Conference on Principles and Practice of Declarative Programming, pp 13-23, ACM Press, New York, 2009. -
Efficient detection of communication in multi-cores
. In Proc. 2nd Swedish Workshop on Multi-Core Computing, pp 119-121, Department of Information Technology, Uppsala University, Uppsala, Sweden, 2009. -
Reconsidering algorithms for iterative solvers in the multicore era
. In International Journal of Computational Science and Engineering, volume 4, pp 270-282, 2009. -
A meta-model describing the development process of mobile learning
. In Advances in Web Based Learning – ICWL 2009, volume 5686 of Lecture Notes in Computer Science, pp 454-463, Springer-Verlag, Berlin, 2009. -
Current practice in mobile learning: A survey of research method and purpose
. In Proc. 8th World Conference on Mobile and Contextual Learning, pp 103-111, University of Central Florida, Orlando, FL, 2009. -
Dealing with stakeholders in mobile learning: A study of three initiatives
. In Proc. 32nd Information Systems Research Seminar in Scandinavia, pp A72:1-14, Molde University College, Norway, 2009. -
Sharing experience from three initiatives in mobile learning: Lessons learned
. In Proc. 17th International Conference on Computers in Education, pp 613-617, Asia-Pacific Society for Computers in Education, Jhongli City, Taiwan, 2009. -
Thinking ahead in mobile learning projects: A survey on risk assessment
. In Proc. 8th International Conference on Perspectives in Business Informatics Research, pp 57-66, Kristianstad Academic Press, Sweden, 2009. -
Modeling and analysis of thread-pools in an industrial communication platform
. In Formal Methods and Software Engineering, volume 5885 of Lecture Notes in Computer Science, pp 367-386, Springer-Verlag, Berlin, 2009.
2008
-
Monotonic Abstraction in Parameterized Verification
. In Electronic Notes in Theoretical Computer Science, volume 223, pp 3-14, 2008. -
Composed Bisimulation for Tree Automata
. In Implementation and Application of Automata, volume 5148 of Lecture Notes in Computer Science, pp 212-222, Springer Berlin/Heidelberg, 2008. -
Computing Simulations over Tree Automata: Efficient Techniques for Reducing Tree Automata
. In Tools and Algorithms for the Construction and Analysis of Systems, volume 4963 of Lecture Notes in Computer Science, pp 93-108, Springer Berlin/Heidelberg, 2008. -
Handling parameterized systems with non-atomic global conditions
. In Verification, Model Checking, and Abstract Interpretation, volume 4905 of Lecture Notes in Computer Science, pp 22-36, Springer-Verlag, Berlin, 2008. -
Model Checking Race-Freeness
. In Proc. 1st Swedish Workshop on Multi-Core Computing, volume 2008:07 of Research report / Blekinge Institute of Technology, pp 89-96, 2008. -
Model checking race-freeness
. In SIGARCH Computer Architecture News, volume 36, number 5, pp 72-79, 2008. -
Monotonic abstraction for programs with dynamic memory heaps
. In Computer Aided Verification, volume 5123 of Lecture Notes in Computer Science, pp 341-354, Springer-Verlag, Berlin, 2008. -
Monotonic abstraction in action: Automatic verification of distributed mutex algorithms
. In Theoretical Aspects of Computing - ICTAC 2008, volume 5160 of Lecture Notes in Computer Science, pp 50-65, Springer-Verlag, Berlin, 2008. -
Monotonic and downward closed games
. In Journal of logic and computation (Print), volume 18, number 1, pp 153-169, 2008. -
Parameterized tree systems
. In Formal Techniques for Networked and Distributed Systems: FORTE 2008?, volume 5048 of Lecture Notes in Computer Science, pp 69-83, Springer-Verlag, Berlin, 2008. -
R-automata
. In CONCUR 2008 - Concurrency Theory, volume 5201 of Lecture Notes in Computer Science, pp 67-81, Springer-Verlag, Berlin, 2008. -
Stochastic games with lossy channels
. In Foundations of Software Science and Computational Structures: Proceedings, volume 4962 of Lecture Notes in Computer Science, pp 35-49, Springer-Verlag, Berlin, 2008. -
Universality Analysis for One-Clock Timed Automata
. In Fundamenta Informaticae, volume 89, number 4, pp 419-450, 2008. -
Presentation of the VINN Excellence Center for Wireless Sensor Networks (WISENET)
. In Conference on Radio Science (RVK08), Växjö, 2008. -
Re?nement Types for Secure Implementations
. In Proc. 21st IEEE Computer Security Foundations Symposium, pp 17-32, IEEE, Piscataway, NJ, 2008. -
Regular Inference for State Machines Using Domains with Equality Tests
. In Fundamental Approaches to Software Engineering, volume 4961 of Lecture Notes in Computer Science, pp 317-331, Springer-Verlag, Berlin, 2008. -
Regular Inference for Communication Protocol Entities
. Technical report / Department of Information Technology, Uppsala University nr 2008-024, 2008. -
On-line placement of real-time tasks on 2D partially run-time reconfigurable FPGAs
. In Proc. 5th IEEE International Symposium on Embedded Computing, pp 20-25, IEEE, Piscataway, NJ, 2008. -
Timed Automata Patterns
. In IEEE Transactions on Software Engineering, volume 34, number 6, pp 844-859, 2008. -
Empowering a flexible application portal with a SOA-based grid job management framework
. PARA 2008: State of the Art in Scientific and Parallel Computing, Norwegian University of Science and Technology, Trondheim, Norway, 2008. -
A discrete spectral method for the chemical master equation
. Technical report / Department of Information Technology, Uppsala University nr 2008-005, 2008. -
Numerical Solution Methods in Stochastic Chemical Kinetics
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 564, Acta Universitatis Upsaliensis, Uppsala, 2008. -
Parallel in time simulation of multiscale stochastic chemical kinetics
. Technical report / Department of Information Technology, Uppsala University nr 2008-020, 2008. -
Simulation of stochastic reaction-diffusion processes on unstructured meshes
. Technical report / Department of Information Technology, Uppsala University nr 2008-012, 2008. -
Time-parallel simulation of stochastic chemical kinetics
. In Numerical Analysis and Applied Mathematics: ICNAAM 2008, volume 1048 of AIP Conference Proceedings, pp 174-177, American Institute of Physics (AIP), Melville, NY, 2008. -
Inference of Event-Recording Automata using Timed Decision Trees
. Technical report / Department of Information Technology, Uppsala University nr 2008-014, 2008. -
Learning of Event-Recording Automata
. Technical report / Department of Information Technology, Uppsala University nr 2008-013, 2008. -
New schedulability test conditions for non-preemptive scheduling on multiprocessor platforms
. In Proc. Real-Time Systems Symposium: RTSS 2008, pp 137-146, IEEE, Piscataway, NJ, 2008. -
Improving cache utilization using Acumem VPE
. In Tools for High Performance Computing, pp 115-135, Springer-Verlag, Berlin, 2008. -
Efficient optimization algorithms and implementations for genetic analysis of complex traits on a grid system with multicore nodes
. PARA 2008: State of the Art in Scientific and Parallel Computing, Norwegian University of Science and Technology, Trondheim, Norway, 2008. -
Extended pi-Calculi
. In Automata, Languages and Programming, PT 2: Proceedings of ICALP 2008, volume 5126 of Lecture Notes in Computer Science, pp 87-98, 2008. -
Cyclic dependencies in modular performance analysis
. In Proc. 8th ACM International Conference on Embedded Software, pp 179-188, ACM Press, New York, 2008. -
State-Space Exploration for Concurrent Algorithms under Weak Memory Orderings
. In Proc. 1st Swedish Workshop on Multi-Core Computing, volume 2008:07 of Research report / Blekinge Institute of Technology, pp 82-88, 2008. -
State-space exploration for concurrent algorithms under weak memory orderings
. In SIGARCH Computer Architecture News, volume 36, number 5, pp 65-71, 2008. -
Reduction Techniques for Finite (Tree) Automata
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 562, Acta Universitatis Upsaliensis, Uppsala, 2008. -
Accurate time propagation for the Schrödinger equation with an explicitly time-dependent Hamiltonian
. In Journal of Chemical Physics, volume 128, pp 184101:1-11, 2008. -
Multi-dimensional option pricing using radial basis functions and the generalized Fourier transform
. In Journal of Computational and Applied Mathematics, volume 222, pp 175-192, 2008. -
Newton-type methods for REML estimation in genetic analysis of quantitative traits
. In Journal of Computational Methods in Sciences and Engineering, volume 8, pp 53-67, 2008. -
Geographical locality and dynamic data migration for OpenMP implementations of adaptive PDE solvers
. In OpenMP Shared Memory Parallel Programming, volume 4315 of Lecture Notes in Computer Science, pp 382-393, Springer-Verlag, Berlin, 2008. -
Expressiveness of Process Algebras
. In Electronic Notes in Theoretical Computer Science, volume 209, pp 173-186, 2008. -
Improved radial basis function methods for multi-dimensional option pricing
. In Journal of Computational and Applied Mathematics, volume 222, pp 82-93, 2008. -
An Interactive Test-bed for Heterogeneous Wireless Sensor Networks.
. In DCOSS demo proceedings, volume 5067 of Lecture Notes in Computer Science, Springer Verlag, 2008. -
Parameterized Systems: Generalizing and Simplifying Automatic Verification
. Ph.D. thesis, Uppsala Dissertations from the Faculty of Science and Technology nr 72, Acta Universitatis Upsaliensis, Uppsala, 2008. -
Gradual Typing of Erlang Programs: A Wrangler Experience
. In Proc. 7th ACM SIGPLAN Workshop on ERLANG, pp 73-81, ACM Press, New York, 2008. -
Graph Grammar Modeling and Verification of Ad Hoc Routing Protocols
. In Tools and Algorithms for the Construction and Analysis of Systems, volume 4963 of Lecture Notes in Computer Science, pp 18-32, Springer-Verlag, Berlin, 2008. -
Model-based validation of QoS properties of biomedical sensor networks
. In Proc. 8th ACM International Conference on Embedded Software, pp 69-78, ACM Press, New York, 2008.



